• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,817)
  • 리포트(1,696)
  • 시험자료(96)
  • 자기소개서(11)
  • 논문(8)
  • 방송통신대(4)
  • 서식(1)
  • 이력서(1)

바로가기

BCD 독후감 - BCD 관련 독후감 3건 제공

"BCD" 검색결과 101-120 / 1,817건

  • 워드파일 VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    이것은 두 개의 simulation결과가 BCD to Excess-3 Code Converter임을 나타내 주고 있다. ... 전자전기컴퓨터설계실험III POSTLAB REPORT [BCD to Excess-3 Code Converter] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK ... 실 험 소 개 (Introduction) 1) Purpose of the Experiment 이번 실험에서는 BCD to excess-3 code converter를 설계하는 것이다
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • 워드파일 <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    10진수 2진수 BCD 코드 Excess-3 코드 0 0000 0000 0011 1 0001 0001 0100 2 0010 0010 0101 ... is -– bcd2excess3는 entity의 name이자 Behavioral은 architecture의 name임 begin process (bcd) --process의 시작을 ... 알림 begin case (bcd) is --bcd 입력값을 excess3값으로 변환하기위한 case조건문 when “0000”=> excess3
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 워드파일 bcd to excess-3 코드의 spartan-3 보드 구현결과(VHDL)
    값이 excess3로 출력됨을 볼 수 있다.Timing simulation Prelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.실제 코드를 보면 bcd ... 전자전기컴퓨터설계실험ⅢBCD to Excess-3 code Converter목 차실험 목적 실험 도구 Post Lab (실험 결과 및 분석, 논평)실험 목적이번 실험은 Xilinx 프로그램을 활용하여 BCD코드를 ... obtained in the class in detail.Functional simulationPrelab에서 구현한 결과와 실제 실험에서 구현한 결과가 일치한다.그림에서 bcd
    리포트 | 19페이지 | 3,000원 | 등록일 2008.06.01
  • 파일확장자 [디지털공학] BCD ADDER 7-SEGMENT
    :in std_logic ); end bcd_adder; architecture behavior of bcd_adder is signal z_sum1 : std_logic_vector ... ; architecture beh of top_bcd is component bcd_adder port( x : in std_logic_vector(3 downto 0); y : in ... --Title top_bcd --File name top_bcd.vhd --Version 10.2 --Date 2003. 5. 20 --Author nam yoon seok (2002036106
    리포트 | 4페이지 | 1,000원 | 등록일 2003.06.03
  • 파워포인트파일 논리소자(AND,NOT,NOR,BCD,MUX,DEMUX,LATCH etc)를 이용한 디지털 공중전화 구현
    디지털 공학 최종 보고서 - 공중 전화 - 03161311고선규 03161432문성욱 03161543이경섭 2008년 06월 25일 수요일 담당 교수 : 이 귀 형 개념도 동작설명 작품 사진 및 설명 부품 리스트 회로도 제작 후기 개념도 동전 삽입 LED 확인 전화번..
    리포트 | 13페이지 | 1,500원 | 등록일 2008.11.14
  • 한글파일 [논리회로] BCD 7 - 세그먼트 디코더
    { BCD 7 - 세그먼트 디코더 . ... 1111 1111110 0************* 1111001 0************* 1************* 1************* Don`t Care 그림 16.2 BCD ... 10진 디지트 표현 표 16.1 7-세그먼트 디스플레이의 진리표 { a f b g e c d { 0 { 1 { 2 { 3 { 4 { 5 { 6 { 7 { 8 { 9 { 10진 디지트 BCD
    리포트 | 2페이지 | 5,000원 | 등록일 2002.11.07 | 수정일 2017.02.21
  • 워드파일 VHDL BCD to Excess-3 Code Converter(prelab) xilinx spartan3e board실험
    end bcd2excess3; 7: architecture behavioral of bcd2excess3 is 8: begin 9: process (bcd) 10: begin 11: ... BCD to Excess-3 Code Converter (1) BCD to Excess-3 Code 변환기란? 10진값에 3(0011)을 더한 2진 코드를 말하는 것이다. ... 전자전기컴퓨터설계실험III PRELAB REPORT [BCD to Excess-3 Code Converter] 학 과 담당교수 조 학 번 이 름 제 출 일 목 차 HYPERLINK
    리포트 | 28페이지 | 2,000원 | 등록일 2008.09.28
  • 한글파일 [회로이론] BCD to 7-세그먼트 디코더
    입력으로 bcd를 주었다. 입력이 0부터 9까지 이므로 4비트를 설정하기 위해서 3 downto 0를 사용하였다. ... Code ● If문 사용 { ● Care문 사용 { ● Coding 내용 분석 BCD to 7 segment Decoder를 if문과 care문을 사용하여 coding을 해 보았다. ... Wave form { => bcd input을 십진수로 표현하고 y의 출력값들을 분해해서 살펴보았다. 10∼15사이의 값이 비활성화 되어있다는 것을 확실히 확인할 수 있었고 약간의
    리포트 | 6페이지 | 1,000원 | 등록일 2003.04.10
  • 한글파일 7447과 7SEGMENT실험보고서(7447과 7SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등)
    실험 3 보고서 데이터 및 관찰 내용 : 7447과 7SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등 표 3-1 입력 출력 2진수 BCD 수 7-세그먼트 디스플레이 0000 ... 이는 3개씩 짝지어진 BCD코드에서 최대 표현 가능 수는 7이기 때문에 그 이상의 자리 올림 수를 첫 번 째 7SEGMENT에 표시하기 위하여 A입력단은 BCD코드의 4번째 자리에 ... 차이에 대해 설명하라. -> 2진법과 BCD는 0과 1로만 구성된 수의 표현이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.20
  • 한글파일 [논리회로설계] BCD-to-7세그먼트 설계
    BCD-to-7세그먼트 설계하기 1) Dual 4-Bit Up Counter(74393)와 BCD-to-7세그먼트 디코더(74248), 논리 게이트로 만든 BCD-to-7세그먼트 ... -7세그먼트 디코더(7448)를 대신해 BCD-to-7세그먼트 디코더(74248)을 사용하였습니다. 4) BCD-to-7세그먼트 디코더(74248)의 동작. 10진수 Inputs Outputs ... 신호를 지연시키는 counter(Clock 입력시간 : 0.67초)를 이용한 BCD-to-7세그먼트 설계도
    리포트 | 7페이지 | 1,000원 | 등록일 2003.12.12
  • 한글파일 [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD가산기)
    따라서 이 출력 값을 그림 6-7(b)와 같이 BCD 코드로 변환만 해준다면, 2개의 BCD 숫자를 더해 그 결과를 다시 BCD로 출력해주는 BCD가산기를 만들 수 있게 된다. ... BCD가산기 다음에는 10진수 덧셈을 수행할 수 있는 BCD가산기를 설계해 보자. ... BCD가산기란 그림 1-5(a)인 것같이 BCD 코드로 표현된 10진숫자 2개를 입력으로 받아 덧셈을 수행하여 그 결과를 BCD 코드로 출력하는 회로를 말하며, 십진가산기라고도 한다
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 한글파일 10진-BCD 부호 변환기
    (1) BCD 부호의 개요 및 원리를 배운다.(2) 10진 - BCD 부호 변환 기법의 원리를 이해하고 이를 응용한 부호 변환기의 구성방법을 익힌다.(3) 표준 TTL IC를 이용한
    리포트 | 4페이지 | 무료 | 등록일 1999.10.17
  • 한글파일 10진-BCD 부호 변환기
    스위치가 5개 밖에 없어서 스위치를 사용하지 않고 0과 1이 전압의 차에 의한 값임에 착안해서 1은 전원의 (+)단자에 0은 (-)단자에 연결해서 10개의 입력을 바꿔나갔다. 10진-BCD
    리포트 | 2페이지 | 무료 | 등록일 1999.10.28
  • 한글파일 ARINC429 항공전자전기기계 레포트 A+
    BCD ? 4개의 데이터 필드 비트를 사용하여 각 십진수를 나타냅니다. ? ... BNR, BCD, Discrete의 차이점 BNR ? 2s 보수 방법을 사용하여 전체 데이터를 2진수로 표현합니다. ? ... DISCRETE 데이터는 BNR 및/또는 BCD 데이터로 구성될 수 있습니다. 또는 특정 장비를 나타내는 개별 비트로 정황.
    리포트 | 5페이지 | 19,900원 | 등록일 2021.12.28
  • 한글파일 디지털 시스템 설계 및 실습 n비트 가감산기 설계 verilog
    이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습한다. 2. ... 실습과정 & 실습화면 BCD.v와 tb_BCD.v를 작성한 후 컴파일 해준다. ... 코드 1) BCD.v module BCD(a,b,C_in,sum,C_out); input [3:0] a,b; input C_in; output [3:0] sum; output C_out
    리포트 | 4페이지 | 1,000원 | 등록일 2020.11.02
  • 한글파일 인코더디코더 코드변환기
    토의 이론값만 가지고 BCD to Gray코드변환기의 결과 값을 추측해 보자면 BCD to Gray 코드변환기는 이름 그대로 BCD코드의 입력을 Gray 코드의 출력으로 바꾸어주는 ... BCD-to-10진 디코더 회로 1)결과 BCD-to-10진 디코더 회로 입력 값 A,B,C,D에 의한 출력값 (Y?~Y?)의 출력값을 얻을 수 있다. ... BCD코드에서 3코드를 더하여 보수연산을 빠르게 하기 위해서 만든 것이 Excess-3코드이다 BCD to Gray 코드변환기 결과 이 회로는 구성하는데 시간이 오래 걸렸다.
    리포트 | 3페이지 | 2,000원 | 등록일 2019.06.25
  • 한글파일 디지털회로실험 인코더와 디코더 결과보고서
    BCD 코드 : 0101 BCD 코드 : 0110 BCD 코드 : 0111 BCD 코드 : 1000 BCD 코드 : 1001 결론 및 고찰 : 이번실험을 통해 10진수/BCD 인코더와 ... 0 0 0 8 1 0 0 1 9 [실험 2 디코더 회로] BCD 코드 : 0000 BCD 코드 : 0001 BCD 코드 : 0010 BCD 코드 : 0011 BCD 코드 : 0100 ... BCD/10진수 디코더에 대해서 알게 되었다.
    리포트 | 6페이지 | 3,000원 | 등록일 2021.04.16
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    [그림 9] 4) BCD-to-7-segment decoder BCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다. ... (binary-coded decimal) 코드 10진수의 각 자리를 4bit의 2진수로 표시하는 코드이다. 0~9에 대응하는 BCD 코드를 [그림 9]에 나타내었다. ... 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. Logic symbol 및 connecction diagramd은 [그림 13]과 같다.
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 파일확장자 [S-OIL 에쓰오일 합격 노하우] 면접 기출 질문 리스트 + 합격자 답변 템플릿 <<기밀자료>>
    4 트레이딩에 대해 아는 대로 설명해주세요.5 다른 지원자들과 차별화된 강점이 있나요6 BCD COD 는 무엇인가요?7 술을 좋아하나요? 대산에서 잘 살 수 있을까요?
    자기소개서 | 44페이지 | 8,500원 | 등록일 2023.04.27 | 수정일 2023.05.10
  • 한글파일 (디지털 회로실험)8421 Encoder의 논리회로 설계
    조건 : 디지털 논리소자를 이용하여 8421 Encoder를 구현한다 Ⅱ 설계이론 [BCD코드] BCD(Binary-coded decimal) 이진 코드화된 십진수로서, 십진수를 이진코드로 ... 종류는 2 x 1 인코더, 4 x 2 인코더, 8 x 3 인코더 등이 있다. [10진 BCD ENCODER ? ... 표기한 것이다. 2진 코드로 표기가 되어있지만, 실제 10진수에 대응되는 2진수의 값과 BCD는 약간의 차이가 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.08.18 | 수정일 2022.02.16
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업