• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,062)
  • 리포트(1,886)
  • 시험자료(104)
  • 방송통신대(40)
  • 자기소개서(21)
  • 논문(4)
  • 서식(4)
  • 이력서(2)
  • ppt테마(1)

"8세그먼트" 검색결과 1-20 / 2,062건

  • 한글파일 8-세그먼트 디스플레이 구현
    디스플레이는 각 세그먼트를 위해 X1, X2, X3, X4, X5, X6, X7, X8로 표기되는 8개의 입력을 갖는다. ... 디지털 논리 회로 (5.10 연습문제 21번) 정보통신공학과 아래의 그림은 특별한 8-세그먼트 디스플레이이다. ... X7 X8 위의 진리표는 1이 입력으로 들어올 때 세그먼트가 점등된다는 가정 하에 나타낸 것이다.
    리포트 | 9페이지 | 2,000원 | 등록일 2010.11.03
  • 한글파일 max plus 이용한 디지털 논리 회로 실습 8세그먼트
    서론 문제] 아래의 그림은 특별한 8-세그먼트 디스플레이이다. X1 X6 X2 X8 X5 X3 X4 0부터 15까지의 숫자를 디스플레이하고 싶다. ... 본론1 1~15까지의 숫자로 나타내는 8세그먼트를 truth table로 나타내고 각각의 출력 X1~X8까지를 Boolean Equation 으로 나타내면 다음과 같이 나타낼 수 있다 ... 앞에서 해결한 a번과 simulator의 결과와 같고 8세그먼트의 0~15까지의 동작이
    리포트 | 21페이지 | 1,500원 | 등록일 2009.05.21
  • 한글파일 디지털회로실험 7-세그먼트, 비동기식 카운터 실험 레포트
    1 0 0 0 8 16 0 0 0 0 0 1. ... 기본 이론 - BCD × 7- 세그먼트 디코더 - 7-세그먼트(7-segment)는 [그림 1]과 같이 LED 7개로 숫자를 표시한다. - 7-세그먼트(7-segment)는 0000 ... 디코더 및 표시기 실험(7447) - 7-세그먼트 회로에 0000부터 1씩 증가하면 7-세그먼트의 값이 0부터 9까지 출력된다. - 7-세그먼트 회로에 전원 공급기로 케이블을 연결하여
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.13
  • 한글파일 (중소기업창업론) 창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소
    마지막으로 재무 자원은 기업이 보유하고 있는 현금과 더불어 신용 등급, 자금을 유동할 수 있는 능력 등과 같은 문제를 다루는 것이라고 말할 수 있을 것이다. (8) 핵심 파트너십 핵심 ... 고객 세그먼트는 말 그대로 일정한 기준에 따라 분류하여 세분화하는 것을 이야기한다. ... 본론 (1) RWW 분석기법 (2) 비즈니스 모델 캔버스 1)고객 세그먼트 2) 가치 제안 Ⅲ. 결론 Ⅳ. 참고문헌 Ⅰ.
    방송통신대 | 6페이지 | 3,000원 | 등록일 2022.06.23
  • 한글파일 [중소기업창업론][중간]창업아이디어 평가기법인 RWW 분석과 창업기업의 사업 수행방식을 분석할 수 있는 비즈니스 모델 캔버스 및 그 구성요소에 대해 서술하시오
    ) 채널 (4) 고객 관계 (5) 수익원 (6) 핵심자원 (7) 핵심 활동 (8) 핵심 파트너십 (9) 비용 구조 3. ... 이러한 고객 세그먼트는 다양한 유형이 있는데, 오스터왈더와 피그누어는 매스마켓, 틈새시장, 세그먼트가 명확히 이루어진 시장, 복합적인 세그먼트가 혼재되어 있는 시장, 멀티사이드 시장 ... 넷째, 복합적인 세그먼트가 혼재되어 있는 시장은 아무런 연관성이 없는 서로 다른 세그먼트에게 서비스를 제공하는 유형을 말한다.
    방송통신대 | 10페이지 | 3,000원 | 등록일 2021.08.28
  • 한글파일 [전기실험]BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현
    위한 소자로서 아래와 같은 모양을 갖고 있다.0~9까지의 숫자를 나타내기 위해 LED segment 7개를 8자 모양으로 배치하고 각 LED를 ON/OFF함으로써 0~9까지의 숫자를 ... = BCD코드 1) BCD 10진수 실험결과 0000 0 0001 1 0010 2 0011 3 0100 4 BCD 10진수 실험결과 0101 5 0110 6 0111 7 1000 8 ... (1) 실험 목표 BCD를 7세그먼트 디코더 칩셋과 디스플레이를 이용하여 10진수로 표현 할 수 있다. (2)실험 이론 디지털 신호는 0,1로 이루어져 있고 이를 2진수로 표현한다.
    리포트 | 5페이지 | 1,500원 | 등록일 2019.06.29
  • 한글파일 쇼핑 앱 '지그재그' 비즈니스 모델 캔버스 분석
    8. 핵심파트너십 -입점 개인 스토어 -입점 브랜드 스토어 -택배사 7. ... 고객 세그먼트 -온라인 쇼핑이 익숙한 10-30대 여성 6. 핵심자원 -이용자수 -입점 개인 스토어 수 -입점 브랜드 스토어 수 -리뷰 등의 콘텐츠 3. 채널 -모바일 앱 9.
    리포트 | 1페이지 | 1,500원 | 등록일 2022.06.02
  • 한글파일 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    [사진 8] FND와 FND Array에 결과를 출력하는 4비트 카운터 시뮬레이션 결과 0ns ~ 1= 14, E) [사진 24] 증가 계수 (Q = 15, F) [사진 25] 증가 ... 00000001 00000010 00000100 00001000 00010000 00100000 01*************0 SEG X X 1 2 3 4 5 6 7 8 [표 3] ... 12, C) [사진 31] 감소 계수 (Q = 11, B) [사진 32] 감소 계수 (Q = 10, A) [사진 33] 감소 계수 (Q = 9) [사진 34] 감소 계수 (Q = 8)
    리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 한글파일 레포트 - Business Model 9블록
    고객 세그먼트(Customer Segments) Gatheround는 기업의 직원들로 구성된 고객 세그먼트를 대상으로 서비스를 제공합니다. ... Gatheround의 고객 서비스는 지속적인 개선과 업그레이드를 통해 고객의 만족도를 높이는 것을 목표로 하고 있습니다. 8.핵심파트너(Key Partnerships) Gatheround는
    리포트 | 3페이지 | 2,000원 | 등록일 2023.08.16
  • 한글파일 창업기획서(비즈니스모델)
    이를 통해 축적된 장기 구매 소비자들은 타사의 여러 화장품을 홍보 및 판매대행으로 새로운 수익까지 창출할 수 있는 귀중한 자산이 될 것입니다. 1.고객세그먼트 -고객세분화 유형 -> ... 핵심파트너 -화장품oem업체 -농가 및 농협 -택배 배달업체 8.핵심자원 -못난이 농산물(물적자원) -못난이 과일을 화장품 원료로 만들 수 있는 기술력(용도) -컨설팅을 통해 주기적 ... 화장품 oem 계약 80 44 광고 홍보7 30 16 과일 재료 40 22 서버 구축 편리 20 10 합계 180만 100 구분 산출근거 금액(만 기준) 비율 택배 배달비 10 8
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.17 | 수정일 2021.10.04
  • 한글파일 어셈블러 구조 및 실행과정 및 용어정리
    인텔 8086 실행과정 - 용어정리 8. CISC와 RISC 방식 9. 세그먼트 레지스터 - 실제 주소값 구하기 10. 어셈블리어의 기본 구조 11. 데이터 정의 12. ... 레지스터 ★범용 레지스터 (상위 8비트는 High라서 H, 하위 8비트는 Low라서 L로 정의됨.) - AX : 어큐물레이터, 산술 논리 연산의 중심이 되는 레지스터, 인터럽트 - ... ex) 16비트 주소버스 = 20비트 32비트 주소버스 = 36비트 **실제 주소 값을 구하는 방법** 각각의 세그먼트세그먼트 내부에 0번부터 시작하는 오프셋(offset)주소를
    리포트 | 11페이지 | 1,500원 | 등록일 2019.08.05
  • 파일확장자 컴퓨터구조 이론 및 실습 [아두이노 논리게이트 및 조합논리회로 실습]
    1] 논리 게이트란? 논리 회로 : 부울 대수를 이용하여 1개 이상의 논리 입력을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로논리 게이트 : 논리 회로에서 뜻하는 대로 게이트 종류에 따라 게이트에 입력한 값에 따라 출력하는 값..
    리포트 | 49페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 한글파일 A+받은 TTL과 SPLD로 구현한 59.9초 스톱워치 응용회로 결과보고서
    회로에서는 High로 설정했을 때, 동작을 시작함 555 타이머(0.1sec) - 10Hz의 주파수를 발생시키기 위해 사용 7-Segment 0.1초, 1초, 10초 단위 - 7세그먼트는 ... 10k 5 3 R4,R5,R6 1k 6 1 R9 10K/Var 7 1 SW1 SW Enable 8 1 SW2 SW nCLR 9 3 U1,U4,U7 7447 10 3 U2,U5,U8 ... G16V8 - 제어회로 역할, 74161아웃풋을 인풋으로 받아 nLD_S0, nLD_S1, nLD_S10으로 0.1초대, 1초대, 10초대를 제어한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.09.06
  • 한글파일 쿠쿠kookoo/비즈니스모델 캔버스 관점 분석, 해외진출성공사례
    고객 세그먼트 ┗ 3. 컨셉 ┗ 4. 채널 ┗ 5. 고객 관계 ┗ 6. 수익원 ┗ 7. 핵심활동 ┗ 8. 핵심자원 ┗ 9. 핵심파트너 ┗ 10. ... p.8 결과???????????????????????????????????????????????? ... 상품을 더 잘 사용할 수 있도록 상세하고 자세한 상품의 사용법 안내를 통한 고객관리로 고객이 더 다양한 제품을 렌트하여 사용할 수 있도록 끊임없이 고객에게 만족을 제공하고 있습니다. 8.
    리포트 | 10페이지 | 4,000원 | 등록일 2022.06.13
  • 워드파일 BCD code, 세븐 세그먼트에 대한 이론 및 회로
    가운데 가로 획까지 각각 a부터 g까지의 이름으로 붙힌다. 7세그먼트를 제어할 때 MCU의 GPIO를 바로 7세그먼트에 연결을 하면 최소 8개의 GPIO가 필요하다. ... 일반적으로 BCD 코드란 8421코드를 의미하며 각 비트의 자리값은 MSB에서부터 8,4,2,1로 되기 때문에 가중코드라고 한다. ... 세그먼트가 켜지고, Cathode형은 High일 때 세그먼트가 켜진다.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 워드파일 현대자동차 상품전략기획팀 합격자소서
    전장은 4.5m, 전폭은 1.8m 이상으로 실내공간을 확보하여 패밀리카로서의 2열 활용을 늘립니다. ... (국내 시장기준) 차량의 세그먼트 분류에 대해 기술하고, 각 세그먼트 별 역할에 대해 기술해 주십시오. ... 같은 차급의 세단 세그먼트에 비해 가격대가 높아 수익성 향상에 기여합니다. 7. 스포츠카 : 자동차의 기본인 주행성능과 기술력을 과시하는 세그먼트입니다.
    자기소개서 | 5페이지 | 3,000원 | 등록일 2023.03.22 | 수정일 2023.04.14
  • 워드파일 전기및디지털회로실험 실험8 결과보고서
    전기및디지털회로실험 결과레포트 이름 : 학번 : 학과 : 담당교수 : 목차 실험 명2 실험 개요2 실험 결과2 결과 보고서7 실험 고찰8 실험명 실험 8. 숫자표시기와 응용 2. ... 이렇게 연결함으로써 해당하는 숫자의 스위치를 누르면 7-세그먼트에서 해당하는 숫자를 표시할 수 있었다. 8입력이기 때문에 0부터 7까지만 숫자를 표시할 수 있었다. (4) 실험 6항의 ... 표시하도록 하는 회로를 구성하는 실험이었다. 8입력 3출력인 74148 인코더를 통해 출력된 3개의 출력신호를 다시 7447 디코더의 입력단에 연결한 후 7447의 출력을 7-세그먼트
    리포트 | 8페이지 | 1,000원 | 등록일 2024.03.12
  • 한글파일 디지털회로실험 LED와 7-세그먼트
    -0.02= {5-2.8} over {R} 전류제한 저항값=110Ω -토의 실험 1은 LED 구동 회로를 구성하는 실험이다. ... OFF ON ON OFF OFF ON ON 5 ON OFF ON ON OFF ON ON 6 OFF OFF ON ON ON ON ON 7 ON ON ON OFF OFF OFF OFF 8 ... 저항 7개를 74LS47 칩과 7-세그먼트에 전선으로 연결해야 하는데 초반에, 7-세그먼트의 c, d, e와 저항을 연결한 전선이 반대쪽으로 꽂혀있어 저항이 연결되어 있지 않은 것과
    리포트 | 3페이지 | 1,500원 | 등록일 2023.10.24
  • 워드파일 전기및디지털회로실험 실험8 예비보고서
    고정되어 있는 낱말이나 문장을 나타낼 때만 쓰는 경우가 많다. https://ko.wikipedia.org/wiki/7%EC%84%B8%EA%B7%B8%EB%A8%BC%ED%8A%B8 ... 한편 한 자리에 해당하는 4비트나 두 자리에 해당하는 8비트를 입력받아 이를 해석하여 적절한 모습으로 표시해 주는 장치도 존재한다. 7세그먼트 표시 장치는 숫자 뿐만 아니라 제한적으로 ... 이론조사 -7 세그먼트 표시기 7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽
    리포트 | 6페이지 | 1,000원 | 등록일 2023.06.30
  • 파워포인트파일 전기및디지털회로실험 최종설계(배터리잔량테스터)
    bar 7 세그먼트 세그먼트는 0, led bar 는 무점등 배터리 삽입 경우의 수 5 가지를 조건문으로 표현 8 코드 블럭도 else if (1.5v 건전지 삽입 ) Lcd Led ... 3.7V 3.5V 9 단계 8 3.5V 83% 10 단계 미점등 4.13V 135% 미일치 미점등 18% 62% 9V 9.65V 10 단계 9 9.65V 119% 10 단계 미점등 ... 막대저항 (330 Ω ) 30 390 막대저항 (1K Ω ) 20 260 막대저항 (2K Ω ) 10 250 납땜용 기판 2 880 납땜용 전선 1 2,700 납땜용 소분 실납 0.8mm
    리포트 | 22페이지 | 2,000원 | 등록일 2024.04.09
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업