• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(57)
  • 리포트(53)
  • 시험자료(3)
  • 자기소개서(1)

"7-segement" 검색결과 1-20 / 57건

  • 워드파일 [예비레포트] 숫자표시기(7-segement) 응용 (아두이노)
    예비레포트 숫자표시기(7-segement) 응용 1. ... segement의 구동 각각의 LED는 어느 정도의 전류(약 10mA)를 흘려 주어야만 빛을 발하게 된다. ... g->디지털 output 6번, h->디지털 output 7번 (3) 입력된 전압 값을 소수점 첫째 자리에서 반올림한 후, 그 숫자를 숫자 표시기에 표시하는 프로그램을 작성한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.03
  • 워드파일 기초전자회로실험_vending machine
    출력: 1 ↑입력 0 1 1 0을 가했을 때, 7segement 출력: 2 ↑입력 0 1 1 1을 가했을 때, 7segement 출력: 3 거스름돈이 나올 수 있는 금액의 경우는 ... 사진으로 캡쳐 하고 각 부분을 실험내용 1 에 제시된 회로도와 비교하여 설명 ↑입력 0 0 1 1을 가했을 때, 7segement 출력:0 ↑입력 0 1 0 1을 가했을 때, 7segement ... 또 잔돈이 생기면 잔돈이 얼마나 남았는지 7-segement LED를 통해 잔돈의 결과를 알려주는데, 100원의 잔돈이 생기면1, 200원의 잔돈이 생기면 2, 300원의 잔돈이 생기면3
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2022.07.18
  • 한글파일 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    연결하여 첫 번째 7-segment가 한바뀌 돌면 다음 7-segment가 카운터 된다. 12-4-3 두 번째 7-segement가 6진 카운터로 작동하기 위해 Q2,Q3를 AND ... 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. ... (C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 0, 1, 2, ..., 8, 9, 0, 1, 2, ...의 순서대로 표시되는 지 확인한다. 7-segment LED에
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 한글파일 성인간호학실습 응급실 Syncope and Collapse 대상자 응급간호 Concept map
    EKG 상 Lead II QRS complex 39mV V5, V6 ST-segement depression(4mm) 심음 청진상 잡음 (+), DRE (-), CRE (-), 옷이 ... EKG 상 Lead II QRS complex 39mV EKG 상 V5, V6 ST-segement depression(4mm) 심음 청진상 잡음 (+) Troponin kit (- ... PaCO2 31.7mmHg PaO2 72.2mmHg ? HCO3- 19.2mEq/L SaO2 94% ? Brain CT?
    리포트 | 8페이지 | 2,000원 | 등록일 2021.04.25
  • 한글파일 폐렴 case study
    segemented% 96.7▲ 96.8▲ 97.6▲ ESR >120▲ >120▲ 116▲ ... 하였고 혈액 검사상 WBC 13.84 ▲Neutrophil segemented% 96.7▲ ESR >120▲으로 처방된 항생제 투여하였고, 그렁거리는 호흡음과 불규칙적 호흡양상,spo2 ... 입원시 v/s 37.4도-120회/분-24회/분-96/65mmHg-100% 측정되었다. ABGA결과 PH 7.521 PCO2 27.2 PO2 99.8 로 측정되었다.
    리포트 | 17페이지 | 2,500원 | 등록일 2021.05.27
  • 한글파일 국내에서 판매되고 있는 의류, 자동차, 전자제품중 한제품을 선정하여, 해당제품의 시장세분화, 표적시장선정, 포지셔닝을각단계별로설명하시오.
    또한 경쟁 차종에서 볼 수 없는 S-Link로 요즘 소비자들의 눈높이에 맞춰 고급 사운드인 Boss 오디오와 8.7인치의 풀터치 인터페이스는 스마트 라이프에 익술한 젊은층에게 마치 ... 시장세분화(segement), 표적시장설정(Targeting), 포지셔닝(Positioning)의 줄임 말이다. ... 시장세분화 (segement) 자동차 시장은 배기량에 따라 소형차, 준 중형차, 중형차, 준 대형차 , 대형차로 구분된다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.10.09
  • 한글파일 실습후 폐암과 관련된 간호과정(컨퍼용)
    pul HT post sternoetomy state segemental atetlectasis at LLL 발견 ⑨ 면회제한 병실에서 마스크 착용하고 계심 ⑩ wheezing sound ... 의미있는 자료 간호목표 간호계획 간호중재 간호평가 S data: -기침을 하는데 목에 뭐가 딱 붙어 있어서 기침하는데 너무 힘들어 -조금만 걸으면 숨이 턱턱 막혀 1.객담 배출을 원활하게 ... 결과를 기록하였다. * pulse: 108→104→102 로 입원 초에 비해 안정되었음 * 객담을 뱉어 내려는 시도의 횟수가 5~6회로 늘어남 * 통증(+): 7점중 3점이라고 이야기
    리포트 | 2페이지 | 2,000원 | 등록일 2020.08.18
  • 워드파일 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... 그림 5와 같은 회로를 구성하고 입력에 따른 7-segment의 출력 상태를 기록한다. ... DC power supply 실험 재료 저항 7404, 7408, 7420, 7432, 7447, 7483, 7485, 7486, 74139, 74151 7-segment 실험 방법
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 워드파일 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    IDLE은 RESET 버튼이 눌리면 구현되는 동작으로, 4digit-7segement display에 가로줄 4개가 display되며 모든 동작이 중단되는 상태이다. ... ControlUnit 모듈 ControlUnit_RPS의 입출력 모듈 ControlUnit_RPS 모듈은 lab7에서 state machine을 설계한 것과 마찬가지로 RPS_sm과 ... 그림(g) 그림(g)는 지거나 비겼을 경우, ROM의 출력 O(7:0)의 inverse가 COL(7:0)로 출력되어, 지거나 비겼을 때는 X의 inverse 패턴을 점멸하게끔 구현한
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 한글파일 A+ 성인간호학실습 급성 충수염 환자 케이스 간호진단 2개, 간호과정 2개 꼼꼼히 작성!!
    and gas filled colon D catheter at right pelvis 12/01 검사명 검사결과 Abdomen Erect & Supine Gaseous colonic segements ... fat stranding and fluid - wall thickening of cecum - scanty ascites Suspicious a small aneurysm, proximal ... 일반화학 검사7 4. 일반뇨검사7 5. 영상의학 검사8 6. operation Record9 7. 타과협진의뢰(consult)9 8. Vital sign10 9.
    리포트 | 22페이지 | 3,000원 | 등록일 2024.01.30
  • 워드파일 외국계자기소개서- 2018 TexasInstrument Korea Sales Intern(기술영업인턴)
    아날로그 및 디지털 회로설계실습을 통하여 논리표를 통해 7segement를 제어하고, 스텝 모터와 관련된 실험을 진행하였습니다. ... 텍사스인스트루먼트코리아 Technical Sales Engineer 마감일 : 18-04-15 23:59 *자소설닷컴 채용공고 첨부파일 참고 Various Experiences *
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.06.01 | 수정일 2020.11.26
  • 한글파일 A+ 성인간호학실습 급성 호흡부전 간호과정 보고서
    perfusion 5/5 - no changes of brain condition - calcified plague in V4 segement of left vertebral artery ... 동공 : 좌 2 3 4 5 6 7 8 ㎜ 우 2 3 4 5 6 7 8 ㎜ 반응 : 빠름 느림 ∨ 무반응 - 눈뜨기반응 :자발적(4) 언어자극시(3) 통증자극시(2) 무반응(1) 언어반응 ... 식도암 수술로 인해 thorax 내로 올라와 있는 stomach의 perforation (천공)은 의심하지 않습니다. subcutaneous emphysema (폐기종- 폐포 내의
    리포트 | 63페이지 | 25,000원 | 등록일 2024.03.19
  • 워드파일 [예비레포트] Mod-n 카운터
    그림 6.1은 7-Segment-Display 의 회로도이다. 7-segment 예상 진리표 INPUT OUTPUT D0 D1 D2 D3 계산값 7-SEGEMENT 0 0 0 0 0 ... Mod-16의 네 출력을 7447 디코더에 IC칩과 7-segment Display에 연결하여 그 결과를 확인한다. ... 가질 수 있고, 이를 이용하여 16 카운터를 설계 할 수 있다. 7-segment : 7-Segment Display 는 Flexible Numeric Display (FND)로
    리포트 | 8페이지 | 1,000원 | 등록일 2019.06.02
  • 한글파일 면역학 중간까지의 내용정리입니다.
    다양할 수는 있지만, 길이는 항상 12 bp 또는 23이다. 12bp RSS를 가진 Gene segment는 오로지 23bp RSS를 가진 Gene segement에만 결합할 수 ... 의해 guide되어 진다. → RSS는 7개의 Nucleotide (5‘CACAGTG3’)로 구성된 Conserved region인 Heptamer가 coding sequence와 ... 다른 분자들을 Phosphorylation ▶ IRAK1은 Plasmacytoid dendritic cell에서 많이 발현되어 있는 IRF7과 긴밀히 연결되어 있는데, IRF7이
    시험자료 | 16페이지 | 4,000원 | 등록일 2023.05.18 | 수정일 2023.06.28
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    Dynamic 7-segment 컨트롤러 설계 Dynamic-7segment는 제어되는 7-segement의 숫자가 늘어나서 동시에 늘어나는 I/O를 아끼기 위해 사용하는 데이터라인을 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계 앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에 ... 각 데이터에 Low 값을 전달하여 LED에 불이 들어오도록 설정한다. 1. 7-segment decoder 설계 7-segment 설계에서는 하나의 segment LED에 0에서 9까지
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 한글파일 전주대학교 마케팅원론 중간고사 정리본
    시장세분화는 모든 고객들에게 똑같은 방법으로 마케팅하는(mass marketing)에서 각 세분시장의 욕구에 맞는 상품을 마케팅하는 것(segement marketing)으로 변화하였고 ... 그리고 사업 포트폴리오를 통해 사업단위에 대한 자원을 분배해야 한다. 7. BCG 매트릭스(1) 스타사업은 성공사업, 수익성과 성장성이 크므로 지속적인 투자가 필요하다. ... 예) 동양맥주-조선맥주 / 삼양라면-농심라면 5-3.
    시험자료 | 3페이지 | 3,000원 | 등록일 2023.10.06
  • 한글파일 [A+자료, 교수님칭찬] Cesarean section, C/S(제왕절개술) case study(문헌고찰/사정/진단/계획/수행/평가), 간호과정2개, 간호진단6개, 급성통증, 감염위험성, 여성간호학실습 case
    이러한 위협이 분만지연으로 인해 고조될 때, 질분만이 산부나 태아에게 위험이 있을 때 태아를 신속히 만출 시킬 수 있다. 2) 특징 (1) 유형 제왕절개술은 자궁하부절개(low segement ... 퇴원교육 대개 산모는 수술 후 5~7일째 퇴원하므로 불편감, 운동, 합병증, 성생활, 유방관리, 추후검진 시기 등에 대한 퇴원교육을 실시한다. ▣ 자료수집 1. ... 본론 ▣ 문헌고찰 1) 질병의 정의 제왕절개분만(cesarean section delivery)은 산부의 복벽과 자궁벽을 절개하여 태아를 만출시키는 외과적 술법으로 제왕절개술이라고
    리포트 | 22페이지 | 2,500원 | 등록일 2021.05.15
  • 한글파일 병원미생물학 기말고사 요약정리 A+
    ) 특징 - 비리온 폴리메리아제O, 외피보유 RNA 바이러스 - negative 양극성, 유행원인 : RNA segements의 유전자재배열 단백항원변화 감염경로 - 비말감염 병원성 ... 장독소) + CNS - S. epidermidis (표피포도구균) : 여드름, 기회감염 - - S. saprophiticus (부생포도구균) : 비뇨기감염, 기회감염 - ② 마이크로코쿠스 ... 협막O, 아포·편모X 극염색성 - 55℃에서 30분에 사멸, 저항성이약해 쉽게사멸 서식처와 감염경로 - 호흡기, 백일해환자 기관-기관지섬모, 제2군급성감염병 병원성 - 잠복기 7일
    시험자료 | 23페이지 | 4,000원 | 등록일 2022.11.07 | 수정일 2022.11.22
  • 한글파일 VHDL코드를 이용한 Seven Segment구현 및 simulation
    VHDL 실습 추석 레포트 7-segement 디스플레이 담당교수 : 담당조교 : 전자공학과 세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 ... , A2, A3 : in std_logic; -- A0 ~A3 까지 입력 포트 a, b, c, d, e, f, g : out std_logic); -- a ~ g 까지 출력 포트 end ... 회로도 3-4 소스코드와 설명 library ieee; -- 라이브러리 지정 use ieee.std_logic_1164.all; entity seg_code is port(A0, A1
    리포트 | 5페이지 | 1,500원 | 등록일 2010.12.27
  • 한글파일 04 논리회로설계실험 예비보고서(인코더,디코더)
    segment 진리표 각 디코더의 출력(7 segement의 입력단자 a~g)에 대해 Karnaugh map을 이용한 간단화 과정을 거친 후 작성된 디코더의 회로는 다음과 같다. ... 실험 내용 - 실험 1. 3X8 디코더를 설계하시오 (1) 진리표 입력 출력 A2 A1 A0 D7 D6 D5 D4 D3 D2 D1 D0 0 0 0 0 0 0 0 0 0 0 1 0 0 ... BCD to 7 segment 회로도 3.
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업