• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(22,426)
  • 리포트(20,366)
  • 시험자료(1,116)
  • 방송통신대(417)
  • 자기소개서(272)
  • 논문(162)
  • ppt테마(41)
  • 서식(38)
  • 노하우(7)
  • 이력서(6)
  • 전문자료(1)

"4비트" 검색결과 1-20 / 22,426건

  • 한글파일 4 bit adder / 4:1 multiplexor / 2bit to 4bit decoder 설계과제 (verilog)
    1. 4bit adder 1-bit 전가산기를 설계하여 4개를 결합, 4bit 가산기를 만든다. ... . 2bit로 출력할 수 있는 4개의 binary값을 순차적으로 입력했을 때 출력을 시뮬레이션 하였다. ... 본 코드에서 설계된 디코더는 2개의 입력으로 2bit의 binary 수를 입력받아서, 2의 2승, 즉 4개의 출력회선의 번호가 binary값에 해당하는 번호에만 1을 출력하는 디코더이다
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.15
  • 한글파일 디코더, mux, Comparator, 4비트 감가산기
    제 목 : Decoder, Mux, Comparator, 4bit-adder-subtractor 2. ... (출처 - [네이버 지식백과] 비교 회로 [comparator, 比較回路] (전자용어사전, 1995. 3. 1., 성안당)) 4)4bit-adder-subtractor (출처 - http ... bit-binary-a) 가감산기 회로는 제어신호에 따라 덧셈을 수행하거나 뺄셈을 수행하는 회로를 말한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 워드파일 4비트 CLA 가산기 verilog 설계
    Carry look ahead 가산기를 verilog로 설계한 코드 CLA_4bit.v tb_CLA_4bit.v module CLA_4bit( input [3:0] A,B , input ... ; reg [3:0] A; reg [3:0] B; reg Cin; wire [3:0] S; wire Cout; wire PG; wire GG; (UUT) CLA_4bit tb( .A ... (A), .B(B), .Cin(Cin), .S(S), .Cout(Cout)); initial begin $dumpfile("test_CLA_4bit_out.vcd"); $dumpvars
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 한글파일 디코더, mux, comprator, 4비트 감가산기
    그리고 a와 b값을 8비트 연산으로 연결해주었습니다. 여기서 op값이 1로 지정해주어서 감산기를 만듭니다. 4. ... I는 4자리 이므로 4bit를 선언해주고 s는 2자리 이므로 2bit그리고 각각의 입력값에 대입값을 입력했습니다. ... =(((a0&(a0^b0))'|(a1^b1))&(a1&(a1^b1))')' 4bit-adder-subtractor 위 식은 4bit 가감산기를 나타낸것인데 이는 하나의 회로에서 덧셈과
    리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 워드파일 4 to 2bit binary encoder 설계 베릴로그
    입력이 4비트로 들어오면 맨 뒷자리 부터 0이 몇 번째 idx에 처음으로 등장했는지 확인해야함 . ... D=4'b0110; EI=0; #10 D=4'b1000; EI=0; #10 D=4'b1010; EI=0; #10 D=4'b1100; EI=0; #10 D=4'b1110; EI=0; ... b1001; EI=0; #10 D=4'b1101; EI=0; #10 D=4'b0000; EI=0; #10 D=4'b0010; EI=0; #10 D=4'b0100; EI=0; #10
    리포트 | 18페이지 | 2,500원 | 등록일 2021.04.09
  • 한글파일 VHDL코드를 이용한 4비트 감가산기 구현
    비고 이oo 4비트 감가산기 설계 1. ... 온 시키는 해당 위치의 값에 ‘1’을 대입하여 0000(2)에서 1111(2)까지 값을 입력 ②왼쪽의 4비트를 a, 오른쪽의 4비트를 b 로 지정하여 sw라는 3단 스위치가 1단일 ... VHDL code --4bit subadder-- library ieee; use ieee.std_logic_1164.all; package mydata is subtype adder_range
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • 한글파일 (기초회로 및 디지털실험) 4비트 전감가산기 설계 [4 bit adder-subtractor]
    실험제목 : 4비트 전감가산기 설계 [4 bit adder-subtractor] Ⅰ 설계과정 4비트 전가산기와 전감산기의 원리를 이해한다. ... 4비트 전감가산기의 회로도를 설계하고 진리표와 boole 함수를 작성한다. ... 컴퓨터는 전가산기를 반가산기 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.07.13 | 수정일 2022.02.16
  • 파일확장자 FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    파형에 대한 토의A와 B와 c_in을 전가산기를 이용하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총 ... 5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-segments 출력이 나 온 파형이다. ... 핀플래너에 입력값에 대한 핀번호는 교수님께서 올려주셔서 그대로 대입했지만 출력값에 대한 핀번호는 가르쳐주시지 않으셔서 임의로 seven segment digit에서 y0에 대한 값을 14bit이기
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 파일확장자 Verilog로 고성능의 12비트 곱하기 4비트의 multiplier를 설계 (레포트, 설계파일)
    설계목적Verilog HDL을 이용하여 고성능의 12비트 곱하기 4비트의 multiplier를 설계한다.2.
    리포트 | 2페이지 | 3,000원 | 등록일 2020.04.15
  • 파일확장자 [디지털회로 실험] verilog 4비트 계산기 설계
    쿼터스, 모델심사용하였고 사용언어는 베릴로그 입니다. mux, 가산기, decoder, rom, ALU 등 따로 설계하여 합치는 방식으로 설계해서 각각의 회로에 대해서도 자세히 확인할수 있습니다. 각각 회로들에 대해서 쿼터스설계(회로구조), 베릴로그 파일, 테스트벤..
    리포트 | 3,000원 | 등록일 2020.09.07 | 수정일 2023.03.12
  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    모듈 fulladder_1를 이용하여 만든다. 4bit full adder의 엔티티 fulladder_4를 선언하고, port를 선언할 때 입력 X, Y는 4비트 버스 입력으로, ... 파형을 분석한다. -4비트 가산기의 구현 조건 1. 1bit full adder의 동작을 포함한다. 2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다. 3 ... 1 0 01 0 1 11 0 1 11 1 1 10 1 0 10 0 1 먼저 1bit full adder를 구현한 뒤, 1bit full adder 4개를 연결한 방식의 4bit full
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 워드파일 [예비보고서] 9.4-bit Adder 회로 설계
    예비 보고서 설계실습 9. 4-bit Adder 회로 설계 9-3. 설계실습 계획서 9-3-1 전가산기 설계 (A) 전가산기에 대한 진리표를 작성한다. ... 기존 설계한 회 로는 하나의 bit를 더하는 역할을 한다. ... (E) 설계한 회로 중 하나를 선택하여 2Bit 가산기 회로를 설계한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 아날로그 및 디지털회로설계실습 4-bit Adder
    아날로그 및 디지털회로 설계실습 예비 REPORT 9. 4-bit Adder 회로 설계 분 반 교 수 명 실험 날짜 제출 날짜 조 학 번 이 름 요약 : 조합논리회로의 설계 방법을 ... 기존의 학습했던 내용을 토대로 실습을 하면서 이해도가 높아졌습니다. 4. 참고문헌 - 아날로그 및 디지털회로 설계실습 교재 ... S Cout (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다. 3. 결론 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.12.15
  • 한글파일 VHDL 실습(XNOR, MUX, FullAdder, 4-bit FullAdder) 결과
    Cin이 되고 4-bit의 입력을 넣어 4-bit의 S값을 얻을 수 있다. ... Cout값과 S의 값을 결과 값을 얻어 보았다. 4-bit Full-Adder는 Full-Adder 4개를 붙여놓은 것으로 Full-Adder의 Cout이 그 다음 Full-Adder의 ... VHDL 실습(XNOR, MUX, FullAdder, 4 Bit FullAdder) 결과 보고서 ※ 모든 사진은 위에서부터 모듈, 테스트벤치, 시뮬레이션, 진리표 순서입니다. ?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.06
  • 워드파일 4bit ALU 설계하기(회로 그림 X, 논리식 O)
    아래 그림과 같이 회로 표현된 4bit ALU를 논리 식으로 작성 다음의 논리 회로를 표로 작성하면 다음과 같다. ... ALUControl0= 0 ALUControl1= (op1••f5•••••) + (op1••f5••••f1•) + (••f5•f4•f3•f2•f1•f0) + (••f5•f4•f3•f2 ... •f1•f0) + (•op0•f5•f4•f3•f2•f1•f0) ALUControl2= (op1••f5••••f1•) + (•op0•f5•f4•f3•f2•f1•f0) ALUControl3
    리포트 | 2페이지 | 2,000원 | 등록일 2020.11.13 | 수정일 2021.01.11
  • 워드파일 Verilog를 사용한 설계과제(4bit CLA 모듈, State table)
    이 확장 방정식에 의해 C0~C3의 값이 결정된다. 4bit adder로서 sum이 [3:0] carry_out 1bit로 4bit를 구성해야하나, 5bit로 설정해 carry_out을 ... HW 1 설계 코드와 주석 테스트벤치 코드 테스트벤치에서 초기값을 0으로 선언한 후, #100을 통해 a, b, ci에 100ns 뒤에 각각 4bit씩 입력하였다. ... 시뮬레이션 결과 고찰 CLA란 아래 비트부터 carry를 전달하는 Ripple-carry adder 대신에, 한번에 각 비트에서 carry의 발생여부를 판단해 덧셈 시간을 획기적으로
    리포트 | 14페이지 | 1,000원 | 등록일 2020.04.03
  • 한글파일 실습 9. 4-bit Adder 회로 설계 예비보고서
    실습 9. 4-bit Adder 회로 설계 9-1. 실습목적 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다. 9-2. ... (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다. ... 실습준비물 부품 저항 330Ω, 1/2W, 5% : 10개 Inverter 74HC04 : 4개 NAND gate 74HC00 : 5개 NOR gate 74HC02 : 5개 AND
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.19
  • 파일확장자 A+ 결과보고서_4-bit adder와 회로설계
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 파워포인트파일 다층신경망 입력 0 ~ 9 까지의 4비트 이진수, 출력 세그멘트 LED 코드 (7비트)
    이론 [순방향신경망]V1 = ( w1 ) x ( x ) V1을 φ(v) Sigmoid함수에 대입 = y1V2 = ( w2 ) x ( y ) , y를 입력으로 받음V2을 φ(v) Sigmoid함수에 대입 = y2다음 w가 없을 때 까지 반복e = d – y 정답에서 결..
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • 워드파일 Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트
    Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트 1. ... 실험 제목 1) Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 2. ... register type에서는 assign문을 사용할 수 없다. 3. design source, test bench, simulation 결과 Half Adder: Full Adder: 4bit
    리포트 | 6페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업