• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(3)
  • 리포트(3)

"캐리예견가산기" 검색결과 1-3 / 3건

  • 한글파일 캐리 예견 가산
    캐리예견 가산기 】 캐리예견 가산기(carry lookahead adder)는 리플캐리 가산기의 단점인 회로지연을 줄이기 위해 개발되었다. ... 캐리예견 설계는 고정된 그룹의 가산기 비트에 대한 캐리논리를 2단계 논리로 줄인 리플캐리 설계를 변형해서 얻을 수 있다. ♤ FPA (부분적인 전가산기) ♤ { ♤ 회로설계 ♤ { ... 이를 통한 캐리예견 가산기 회로에 대한 방정식은 다음과 같이 구할 수 있다. { C_1~ = ~ G_0 + P_0 C_0 { C_2~ = ~ G_1 + P_1 (G_0 + P_0 C
    리포트 | 4페이지 | 1,000원 | 등록일 2001.04.01
  • 한글파일 verilog - modified CLA와 CLA를 이용한 fast adder 구현
    이를 이용한 것이 Modified Carry Look Ahead (CLA* : 수정된 캐리 예견 회로) 이다. ... 즉, 게이트를 많이 지나야 하는 동작적 표현방법 CLA* 를 이용한 고속 가산기보다 게이트레벨 표현방법 CLA* 를 이용한 고속 가산기의 계산속도가 더 빠르다. ... 그리고 그 CLA*를 하위모듈로 이용하여 구현한 가산기를 Fast Adder (고속 가산기) 라고 한다. ▶ 게이트 레벨 표현으로 구현한 4비트 CLA* 코드 (모듈명 : modified4bit_CLA
    리포트 | 6페이지 | 1,500원 | 등록일 2013.06.23
  • 한글파일 디지털공학실험 11장 가산기 및 크기 비교기 (예비)
    캐리(carry) 예견 기능을 갖춘 4비트 가산기의 TTL 버전은 7483A이다. ... 가산기와 비교기에서 핀 명칭을 부여하는 방법에는 차이가 있다. 4비트 가산기에는 최하위 비트이므로 0이라는 아래첨자(C0)를 붙이는 캐리 입력(carry-in)이 있다. ... 비교기에는 캐리 입력이 없으므로 최하위 비트는 0이라는 첨자로 표기한다( ). 이번 실험에서는 4비트 2진 코드를 Excess-3 코드로 변환하는데 가산기와 비교기를 사용한다.
    리포트 | 13페이지 | 2,500원 | 등록일 2010.04.06
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업