• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,687)
  • 리포트(6,402)
  • 자기소개서(458)
  • 시험자료(425)
  • 서식(217)
  • 방송통신대(157)
  • 논문(18)
  • 이력서(4)
  • 노하우(4)
  • ppt테마(2)

"전가산기" 검색결과 101-120 / 7,687건

  • 한글파일 디지털실험 3 - 2비트 전가산기 결과레포트
    있다. n비트 2진수의 덧셈을 하는 2진 병렬 가산기는 1개의 반가산기와 n-1개의 전가산기가 필요하다. ... 따라서 반가산기를 이용하여 전가산기를 설계하였다면, 이 회로는 반가산기의 성질을 띄고 있다고 봐도 될 것 같다. ... 사용한 전가산기를 나타내는 회로를 해석하는 것이었다.
    리포트 | 11페이지 | 1,000원 | 등록일 2012.03.09
  • 한글파일 디지털공학(논리회로) 전가산기 설계
    분석 제작해야할 회로는 1의 보수 계산이 가능한 전가산기이다. ... MUX의 출력은 곧 전가산기용 Decoder의 입력으로 들어가야 할 것이다. ... 이것으로 1의 보수용 전가산기의 논리회로 작성은 끝이 났다. 만들어진 최종 회로도는 다음과 같다. 3.
    리포트 | 4페이지 | 2,000원 | 등록일 2009.12.06 | 수정일 2022.12.12
  • 한글파일 디지털회로 [ 반가산기, 전가산기, 반감산기, 전감산기 _ 사전 ]
    가산기, 전가산기, 반감산기, 전감산기 제출일 실험조 이름 -사전 보고서- ? ... 이론에 나와있는 반가산기, 전가산기, 전감산기의 회로도를 기본 TTL 소자를 이용해 BreadBoard에 구성한 뒤 그 동작을 확인하고 값을 측정하여 적는다. ? ... 전 가산기는 여러 비트의 덧셈 기를 구현할 대 최하위 비트를 제외한 나머지 비트들의 가산기에 해당한다. 1 ?자리올림수 1 ?A +1 ?B 11 ?
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 한글파일 [논리회로실험] 실험8. 전가산기와 전감산기 예비보고서
    기본 이론 전가산기와 전감산기는 3비트를 더하거나 뺄 수 있는 논리 블록이다. ... 전가산기와 전감산기 1. 실험 목적 전가산과 전감산의 산술연산을 실행하는 회로의 설계법에 대해 공부한다. 2. ... 반가산기에 의해 구성된 전가산기 X + Y + Ci 그림 8-4.
    리포트 | 10페이지 | 1,000원 | 등록일 2010.12.05
  • 한글파일 가산기, 전가산기, 2의 보수 XOR, XNOR정의 및 특성
    가산기의 진리표> ?전가산기 전가산기 회로도전가산기 (full adder)는 이진수의 한자리수을 연산하고, 하위의 자리올림수 입력을 포함하여 출력한다. ... 하나의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다. 입력이 3개 존재해서 (입력 A, 입력 B, 자리올림수 입력) 모두 대등하게 동작한다. ... XNOR 게이트 진리표> ● 가산가산기란 이진수의 덧셈을 하는 논리 회로이며 디지털 회로, 조합 회로의 하나이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2009.04.28
  • 파일확장자 [Flowrian] 전가산기 (Full Adder)의 Verilog 설계 및 시뮬레이션 검증
    전가산기의 사양2. Dataflow 형식 전가산기의 Verilog 설계 및 검증3. Behavior 형식 전가산기의 Verilog 설계 및 검증4. ... 전가산기 (Full Adder)의 동작은 Verilog 언어가 제공하는 3가지 방식, Dataflow, Behavior, Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog ... Structure 형식 전가산기의 Verilog 설계 및 검증
    리포트 | 12페이지 | 1,000원 | 등록일 2011.10.29
  • 한글파일 [가산기레포트]총정리 리포트(전가산기,반가산기,이진병렬가산기,BCD가산기)
    참고로 반가산기, 전가산기란 이름은 반가산기 2개를 사용하여 전가산기를 구성할 수 있다는 점에 착안하여 지어진 이름이다. ... 가 산 기 목차 : 1. 반가산기 2. 전가산기 3. 이진병렬가산기 4. BCD가산기 덧셈, 뺄셈, 곱셈, 나눗셈 등의 산술연산을 하기 위해 자주 계산기를 사용한다. ... 그림1-1(e)은 2개의 반가산기와 1개의 OR 게이트를 사용하여 전가산기를 구현한 회로이다. (그림1-2) 3.
    리포트 | 6페이지 | 1,000원 | 등록일 2007.11.06 | 수정일 2018.10.17
  • 한글파일 [FPGA] 16비트 Full Adder(전 가산기) 설계 소스 및 모델심 파형
    일단 예제에 있는 4비트 전가산기를 참조하여 1비트 전가산기를 사용해서 확장하는 원리라는 것을 알게 되었고 여러 가지로 시행 착오를 겪었지만 결국 원하는 소스를 만들어 내고 원하는
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.06
  • 한글파일 quartus를 이용하여 반가산기, 전가산기 시뮬레이션
    ① gate수준(논리식)의 표현 library ieee; use ieee.std_logic_1164.all; entity half_adder isport( --입출력 정의x : in STD_logic;..
    리포트 | 7페이지 | 1,500원 | 등록일 2006.10.11
  • 한글파일 2비트 전가산기(결과보고서)
    실험 1,2,3,4의 결과를 이용하여 가산기 및 감산기의 출력을 부울대수식으로 유도하라. ... 를 이용하여 2bit ripple carry 방식의 가산기를 구성하라. ... NAND 게이트만을 이용하여 반가산기를 구성하여라. 2. 문제 1.에서 각 게이트 출력의 논리식을 쓰고, 부울대수를 이용하여합과 자리 올림수의 올바른 출력식을 나타내어라.
    리포트 | 4페이지 | 3,800원 | 등록일 2009.03.11
  • 한글파일 Full Adder VHDL Design - 전가산기 구현
    ★ FA( Full Adder : 전가산기 ) 반가산기는 2진수의 한 자릿수만 계산할 수 있다. n bit의 2진수 덧셈을 위해서는 아랫자리에서 올라온 자리올림을 함께 계산하여야 하는데 ... , 즉 두 개의 2진수 A와 B에 자리올림까지 함께 더하는 회로가 전가산기이다. ★ 진리표 ★ K - Map a.
    리포트 | 7페이지 | 2,000원 | 등록일 2009.10.24 | 수정일 2020.09.10
  • 한글파일 8051을 활용한 2Bit 전가산기 어셈블리코드 작성 및 실험
    이번에 실험해 보았던 CarryIn 이 0인 경우 전가산기가 정상적으로 덧셈 기능을 하는것을 눈으로 확인 할 수 있었다. ... 이번 실험을 통해서 8051의 어셈블리 명령어와 주소 지정방식에 대해서 이해할 수 있었고, 여러 가지 논리 게이트들을 이용하여 전가산기를 구성해 봄으로써 전자계산기, 컴퓨터 등의 내부구조를 ... < 측정 및 기록 > (1) 결과 - 각각의 명령에 주석을 붙이시오 프로그램 [02] Chapter4 2-bit adder assemble code (코드4.1 2bit-전가산기
    리포트 | 3페이지 | 1,000원 | 등록일 2011.12.12
  • 한글파일 VHDL문을 이용한 전가산기 설계
    NAND GATE를 이용한 ADDER 만들기... 회로도 VHDL 코딩 NAND GATE source LIBRARY ieee; USE ieee.STD_LOGIC_1164.ALL; ENTITY nand_1 IS PORT(A, B :IN STD_LOGIC; Y : OUT ..
    리포트 | 3페이지 | 1,000원 | 등록일 2008.01.08
  • 한글파일 [공학]반가산전가산기 심뮬레이션
    전가산기 a. 전가산기 회로도 b. 전가산기 시뮬레이션 c. ... 반가산기 심볼을 이용한 전가산기 a. 반가산기 심볼 b. 반가산기 심볼을 이용한 전가산기 회로도 c. 반가산기 심볼을 이용한 전가산기 시뮬레이션 d. ... 반가산기 a. 반가산기 회로도 b. 반가산기 시뮬레이션 c.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 워드파일 논리 회로 VHDL 프로젝트 (가산기, 반가산기, 전가산기 소스코드, 사진, 파형, 캡쳐 모두 게재)
    HA)라 한다. 2개의 반가산기를 사용하여 전가산기를 제작할 수 있다. ... 0 0 1 0 1 1 0 0 1 1 1 1 0 전가산기(Full adder) 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로이므로 3개의 입력과 2개의 출력으로 구성한다. ... 이 때, 전가산기의 진리표는 다음과 같다. [ 전가산기의 진리표 ] 입력 출력 x y z C S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0
    리포트 | 9페이지 | 5,000원 | 등록일 2008.11.18
  • 한글파일 C언어로 2-bit 전가산기를 작성하여 마이크로프로세서에서의 C언어 사용법을 익힌다.
    2개의 반가산기와 하나의 or 게이트로 구성된 전가산기 전가산기는 에서처럼 2개의 반가산기와 하나의 OR 게이트로 구현할 수 있다. ... 반가산기는 (e)에서처럼 Exclusive-OR 게이트와 AND 게이트로 실현할 수 있다. 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로이다. ... 전가산기의 진리표는 과 같다.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.05.24
  • 워드파일 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계
    전가산기 겸 전감산기는 SEL변수를 추가하여 0일 때 전가산기 1일 때 전감산기 역할을 하는 회로를 구성하는 것이었고, 2의 보수 로직은 산술연산자를 사용하여 1의 보수를 취한 다음 ... 통신회로 및 실습 과제 [4] 전가산기 겸 전감산기, 2의 보수 로직, 16진수-BCD코드 변환, 16진수-ASCII코드, 블록문이 있는 네스티드 if문 설계 정보통신공학과 2010160101 ... 윤희진 2013.04.30 1.소스 작성 - Verilog Module -Synthesize – XST -Verilog Test Fixture -Behavioral
    리포트 | 8페이지 | 3,000원 | 등록일 2014.07.11
  • 워드파일 [DLD실험] 반가산기 및 전가산기
    가산기 및 전가산기 1. 실험목적 반가산기와 전가산기의 설계를 통해 조합논리 회로의 설계방법을 공부한다. 설계된 회로의 기능측정 2. ... (반가산기 논리도) 2) 전가산기 전가산기(Full Adder)는 3개의 입력을 받고 2개의 출력(합, 캐리)를 만든다. ... (전가산기 논리도) 3.
    리포트 | 4페이지 | 1,000원 | 등록일 2005.04.11
  • 파일확장자 진보영일기와 전가산기를 이용한 4-bit 가감산기 설계 제안서 및 설계 결과 보고서
    위해서는 진리표의 L값이 0인 부분을 사용하게 된다.4. 7483의 동작원리 - 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로로 전가산기는 3개의 입력과 2개의 출력으로 구성된다 ... 이 소자는 전가산기로서 Carry와 4bit의 BCD 력을 가지게 된다. ... 전가산기의 진리표는 다음과 같다.5. 7487 소자의 선정 - 진보영일기인 7487의 실제 소자인 SN74H87을 사용한다.
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.17
  • 한글파일 디지털집적회로 MAGIC을 이용한 전가산기(full adder) 반도체 레이아웃 설계 및 HSPICE 시뮬레이션
    디지털 집적회로 담당교수 : --- 교수님 제 출 일 : 2011년 09월 -일 금요일 인하대학교 IT공과대학 정보공학계열 정보통신공학과, 4학년 12091629 학번, --- 전가산기
    리포트 | 7페이지 | 1,500원 | 등록일 2012.09.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업