• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,683)
  • 리포트(6,399)
  • 자기소개서(458)
  • 시험자료(425)
  • 서식(216)
  • 방송통신대(157)
  • 논문(18)
  • 이력서(4)
  • 노하우(4)
  • ppt테마(2)

"전가산기" 검색결과 21-40 / 7,683건

  • 워드파일 Full adder VHDL 실습보고서(전가산기)
    논리회로설계실험 과 제 명 : 4bit FullAdder & subtractor 학 과 : 전자전기공학부 1.목적(Purpose) 이번실습에서는 4 bit Full adder(4비트 전가산기 ... 배경이론(Background) 1)Full adder (전가산기) 1비트의 2진수를 3개 더하는 논리회로이며, 2개의 값을 직접 입력 받고, 나머지 한 개는 Carry in/out의 ... 되는 4가지bit adder로 확장시키는 것은 Full adder 4개를 직렬로 연결시켜, 각각의 값을 순서대로 4비트로 나타내면 된다. 2) 4bit Full Adder(4비트 전가산기
    리포트 | 11페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 한글파일 충북대학교 전자공학부 기초회로실험 반가산기와 전가산기 결과 보고서
    * 비고 및 고찰게이트들을 이용하여 가산기와 감산기를 만드는 실험을 하였다. ... 가산기를 만들면서 1+1의 계산의 경우 합의 값이 2가 되는데 이는 2진수에서 표기가 불가능하기 때문에 0이 되고 1이 올림(carry)가 되는 것을 확인 할 수 있었다. ... 반대로 감산기에서는 0-1과 1-0이 같은 결과인 1이 나오는 것을 확인 할 수 있었다. 0-1의 경우 보수가 되는데 회로에서 (-)가 표시 되지 않으므로 1을 빌려와서(borrow
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 한글파일 충북대학교 전자공학부 기초회로실험 반가산기와 전가산기 예비 보고서
    목 적(1) 반가산기와 전가산기의 원리를 이해한다.(2) 가산기를 이용한 논리회로의 구성능력을 키운다.이 론(1) 2진 연산(Binary Arithmetic) : 2진수 체계는 모든 ... 두 개의 2진 digit A와 B의 가산은 4개의 2진 가산 법칙이 있다.(2) 반가산기(Half Adder) : 2진 덧셈을 살펴보면 2-입력(A, B)의 논리회로는 exclusive-OR ... 두 개의 2진수 A와 B를 더하면, 그 합 S와 자리올림수 C가 발생하는데 이때 두 출력을 동시에 나타내는 회로를 반가산기라 하며 논리식은 다음과 같다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 한글파일 전가산기-예시로 살펴보는 개념, 회로도(수기)그림 있음
    예시로 살펴보는 전가산기 구조 전가산기란 세 개의 입력 변수를 통해 합과 캐리라는 두 개의 출력 변수를 출력하는 조합회로이다. ... 전가산기-출력변수 Ci+1와 S에 대한 수식 전가산기의 출력변수는 Ci+1와 S, 두 개다. 다음은 Ci+1에 대한 수식이다. ... 전가산기-연산과 진리표 전가산기는 과 다르게 이진법의 계산을 따른다. 그러므로 세 개의 입력 변수를 더한 값의 범위는 0~3으로 한정 지을 수 있다.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.10
  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 된다. ... 시간 (6-ns (6+ns X 0101 1011 Y 0100 0010 Cin 1 1 Carry out 0101 0011 마지막 비트 전가산기(FA3)의 입력변화는 이므로 두개의 입력변수가 ... 0 1 하지만 파형을 살펴보면 출력파형(0~25ns)에 글리치가 발생함을 알 수 있다. 3ns일 때 출력 Sum(2)에, 6ns일 때는 Cout에서 글리치가 발견되었다. 1비트 전가산기
    리포트 | 7페이지 | 3,000원 | 등록일 2020.07.09 | 수정일 2021.10.21
  • 한글파일 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 전가산기(4-bit adder) 예비
    전가산기 설계 (A) 전가산기에 대한 진리표를 작성한다. A,`B는 더해지는 입력이고 C _{i`n}은 하위 전가산기에서 생긴 carry이다. ... (E) 설계한 회로중 하나를 선택하여 2Bit 가산기 회로를 설계한다. 앞의 전가산기의 carry out은 뒤 전가산기의 carry in이 된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2020.12.23
  • 한글파일 [전자전기컴퓨터설계실험] MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오.
    (1)MYCAD에서 진리표 형태로 전가산기(full-adder) 셀을 만들고 검증하시오. 두 1비트를 더하는 계산을 할 수 있는 전가산기는 진리표를 바탕으로 구성하였다. ... 전가산기의 심볼 10ns간격으로 입력을 바꿔서 넣었으며 검증결과 진리표대로 결과가 출력되어 정상적으로 기능하는 full adder임을 확인하였다. (2)위에서 생성한 전가산기 셀을 ... 이용하여 4-bit 가감산기를 설계하시오. 4-BIT Adder Subtractor 심볼 4-BIT Adder Subtractor 심볼 위 과정(1)에서 생성한 전가산기 4개와 2x1
    리포트 | 3페이지 | 1,500원 | 등록일 2019.12.09
  • 한글파일 가산기와 전가산기(예비)
    가산기와 전가산기(예비) 2012044011 김주형 실험목적. 1) 반가산기와 전가산기의 원리를 이해한다. 2) 가산기를 이용한 논리회로의 구성능력을 키운다. ... A B S Carry 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 3) 전가산기: 두 개의 반가신기와 한 개의 OR 게이트로 구성되어있는 회로로 앞에서 발생한 Carry까지 ... 그래서 XOR게이트라고 부르기도 하며 반가산기 또는 1/4가산기라 부르기도 한다.
    리포트 | 2페이지 | 1,000원 | 등록일 2017.04.20
  • 한글파일 Combinational Logic 실험 #1 (반가산기 , 전가산기)
    ⓐ회로를 꾸미기 전에 Half Adder를 canonical form으로 나타내 보았다. ... ⓐ회로를 꾸미기 전에 Half Adder를 canonical form 으로 나타내 보았다. ... ⓐ회로를 꾸미기 전에 4-1Multiplexer를 canonical form으로 나타내 보았다.
    리포트 | 4페이지 | 1,000원 | 등록일 2018.06.11
  • 한글파일 가산기와 전가산기 - 결과
    기초회로실험 - 결과보고서 - - 8조 - 정보통신공학부 반가산기와 전가산기 - 실험의 목적 - (1) 반가산기와 전가산기의 원리를 이해한다. (2) 가산기를 이용한 논리회로의 구성능력을 ... + XY'B _{n-1}' + XYB _{n-1} - 결과분석 및 고찰 - 이번 반가산기와 전가산기 실험을 통하여 2진 연산을 논리게이트를 이용해 가산기뿐만 아니라 감산기를 구성하여 ... 키운다. - 실험의 개요 - 2진 연산에 따라서 계산 값과 자리올림을 나타낼 수 있는 반가산기와 전가산기를 회로에 적용하였을 때의 출력이 어떻게 나타나는지 확인하고, 이와 더불어
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 한글파일 가산기와전가산기 - 예비
    기초회로실험 - 예비보고서 - - 8조 - 정보통신공학부 실험목적 (1) 반가산기와 전가산기의 원리를 이해한다. (2) 가산기를 이용한 논리회로의 구성능력을 키운다. ... 진리표 예비과제 (1) 이론부분을 이해하고 AND, OR 및 NOT 게이트만을 사용하여 전가산기를 설계하라. ... S = A B + A B = A B A B S(sum) C(carry) 0 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 C = A B (3) 전가산기(Full Adder)
    리포트 | 4페이지 | 1,000원 | 등록일 2015.10.18
  • 한글파일 전가산기 결과
    결과 레포트를 적으면서 2-bit 2진가산기의 계산식에 대해 더 확실하게 이해할 수 있었으며, 전가산기에 대하여 많은것을 배우고 이해하는 시간을 가지게 되었다. ... 그리고 앞으로는 실험을 하기 전에 미리 공부를 하여서 시간을 벌고 다양하게 할 수 있도록 해야겟다. ... 0 1 1 0 0 1 0 1 1 1 0 1 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 2) 고찰 이번실험은 전
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 워드파일 논리회로설계실험 반가산전가산기설계 예비보고서
    _method=view&MAS_IDX=15*************> “02_조합회로+설계+-+반가산기+_+전가산기”, PDF, Retrieved march 19, 2017, from ... 일반적으로 산술 연산에서는 여러 자리의 이진수 덧셈이 필요하기 때문에, 이러한 경우 전가산기를 사용한다. 실험 내용 실험1. ... modeling) 테스트 벤치 코드 Wave Form 출처 두산백과 doopedia ‘전가산기[full adder]’ Retrieved march 18, 2017, from < Hyperlink
    리포트 | 7페이지 | 1,000원 | 등록일 2018.01.10
  • 워드파일 논리회로설계실험 반가산전가산기설계 결과보고서
    조합 회로 설계-전가산기 실험목표 전가산기의 동작을 이해하고 진리표를 작성해 본다. ... 또한 전가산기를 Schematic Design으로 그려본다. ... A6_최현석 전가산기 회로에 대하여 동작적 모델링, 자료 흐름 모델링 그리고 구조적 모델링 방식을 사용하여 코드를 작성하기 위해 전가산기 회로의 진리표를 작성해 보았다.
    리포트 | 6페이지 | 1,500원 | 등록일 2018.01.10
  • 한글파일 전가산기 결과
    시간이 부족하여 직접 실험을 통해 알아볼수 없었던 점에서 많이 아쉬움을 느끼지만, 결과 레포트를 적으면서 2-bit 2진가산기의 계산식에 대해 더 확실하게 이해할 수 있었으며, 전가산기에 ... 0x03 = 0x03; inputB = 0x03; sum = 0 + 0x03 + 0x03 = 0x06; P1 = 0x86; carryOut = 0x01; 결론 및 고찰 이번실험은 전
    리포트 | 3페이지 | 1,000원 | 등록일 2013.05.24
  • 한글파일 전가산기&반가산
    PSpice 기초와 활용 (전가산기&반가산기) 1.전가산기(FA) 1)회로도 2)파형도 3)진리표 입력 출력 A B Z C S 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 ... 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 4)블록도 5)블록도 화살표 2.반가산기(HA) 1)회로도 2)파형도 3)진리표 입력 출력
    리포트 | 4페이지 | 1,000원 | 등록일 2013.08.07
  • 한글파일 디지털 IC; 2진가산전가산기 결과
    IC 논리블록을 사용하여 전가산기를 구성한다.분석 및 토의2진 가산과 배타적- OR 게이트에 대한 이해를 바탕으로 전가산기와 전감산기에 대한 실험을 했다. ... 우선 전가산기는 배타적- OR 게이트와 AND 게이트를 이용해서 회로를 구성할 수 있다. ... 위의 결과에서 볼 수 있듯이 전가산기의 합의 출력은 배타적- OR 게이트의 논리(두 개의 입력이 같을 때 출력으로 0을, 입력이 서로 다를 때 출력으로 1을 발생)와 2진 가산의 규칙을
    리포트 | 3페이지 | 1,000원 | 등록일 2017.10.11 | 수정일 2017.10.27
  • 한글파일 전가산기가산기 어셈블리어
    Full Adder ( 전가산기 ) 전가산기는 (full adder) 2진 숫자(비트)를 덧셈하기 위한 논리 회로의 하나. 온 덧셈기라고도 한다. ... 전가산기는 3개의 디지털 입력(비트)을 받고, 2개의 디지털 출력(비트)을 생성한다. ... 컴퓨터는 전가산기를 반가산기라고 하는 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다. 1) 진리표 2) 회로도 3) 논리식 2.
    리포트 | 7페이지 | 1,000원 | 등록일 2013.05.24
  • 한글파일 가산기 & 전가산기 결과보고서
    가산기 & 전가산기 1. 실험 목표 간단한 1비트 2진수 합이 가능한 반가산기와 2비트 이상의 2진수 합이 가능한 전가산기의 동작 특성을 이해하고, 이를 설계한다. ... 전가산기 (1) 동작적 모델링 / 자료흐름적 모델링 1) 소스 코드 동작적 모델링 자료 흐름 모델링 2) 테스트 벤치 코드 3) Wave Form (2) 구조적 모델링 1) 파일 생성 ... 결과 & 소스 코드 2) 테스트 벤치 코드 3) Wave Form (4) 결과 분석 - 예비보고서에서 작성했던 코드를 VHDL에서 작성하여 시뮬레이션을 돌려본 결과 전가산기의 진리표를
    리포트 | 9페이지 | 1,000원 | 등록일 2014.07.25
  • 한글파일 결과-전가산기
    전가산기의 카노맵 전가산기 회로의 입출력 논리 관계는 각 출력 변수에 대해 하나의 부울 함수가 대응되므로 2개의토사항 마이크로프로세서를 프로그램 할 때 C언어와 어셈블리 언어 각각의 ... ★관련이론 전가산기는 3개의 입력 비트들의 합을 계산하는 조합회로이다. ... 보고 구성한 회로에 연결하여 전가산기의 기능을 본다. (5) 위에서 행한 실험의 결과 값을 작성한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2013.05.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업