• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(365)
  • 리포트(314)
  • 시험자료(34)
  • 자기소개서(15)
  • 서식(1)
  • 방송통신대(1)

"이중버퍼" 검색결과 141-160 / 365건

  • 파일확장자 avr atmeg128 을 이용한 rs485통신 tx/rx 제어
    이중 통신, 전이중 통신 - 반이중 통신: 양방향 통신이 가능하지만 어느 한쪽이 송신하는 경우 상대편은 수신만 가능한 통신 방식 (무전기나 모뎀을 이용한 통신에서 사용) - 전이중 ... (UCSR0A & 0x20)); } // 송신데이터 버퍼에 저장되면 UDR0는 순간 0으로 되고 송신이 끝나면 다시1로 set된다. ... include void Putch(char ch) //tx of avr { PORTB|=0x01; // 송신 enable UDR0 = ch; // 송신데이터 버퍼
    리포트 | 1,500원 | 등록일 2012.05.21 | 수정일 2014.03.24
  • 파워포인트파일 환경친화 건축물에 대한 연구 발표
    2) 외부형 Type (다중 중공층의 샤프트형 등)보다 공사비가 저렴하며, 쾌적성이 높고 조절이 용이함 3) 중공층의 열적 버퍼에 의한 냉난방 부하 저감 및 소음차단 효과가 우수 ... 이중외피 2. ECO-shaft 3. Office Garden 4. 태양광 발전시스템 ..PAGE:12 Kinden Tokyo HQ Building 1. 이중외피 2. ... 이중외피 2. ECO-shaft 3. Office Garden 4. 태양광 발전시스템 ..PAGE:14 Kinden Tokyo HQ Building 1. 이중외피 2.
    리포트 | 28페이지 | 2,000원 | 등록일 2013.12.23
  • 파워포인트파일 Cell Lysis & Protein extraction
    분리하고자 하는 물질이 다르듯이 버퍼의 구성성분도 다양하다 . ... Alkali 상태 (pH11) 에서는 이중 사슬 DNA 를 denaturation 되게 하여 단일가닥 DNA 가 되게 하며 , 이후 고농도의 염으로 중화시키면 환형의 작은 DNA 인 ... plasmid 는 풀어진 환형의 단일가닥 DNA 가 두 개의 연결된 고리모양을 하다가 중화될 때 다시 이중사슬 환형의 DNA 로 쉽게 되돌아올 수 있으나 (re-hybridization
    리포트 | 9페이지 | 3,500원 | 등록일 2014.12.06 | 수정일 2023.05.16
  • 한글파일 질병의 백신 개발의 최근 연구동향을 정리한 리포트입니다.
    이는 심한 로타바이러스 질환에 대한 로타텍의 효과와 안전성을 평가하기 위해 다국적 이중맹검 위약대조 시험의 일환이다. ... 그런데 이 백신은 가격이 비싸고(도스당 20달러) 접종시 버퍼(완충제)가 필요하기 때문에 개발도상국에서 사용하기에는 부적합하다. ... 이에 반해 새로 개발된 IVI 백신은 버퍼가 필요하지 않아서 접종이 용이하며, 생산비가 낮아(약 1달러) 대규모 접종 캠페인의 실시 가능성이 높다.
    리포트 | 7페이지 | 3,000원 | 등록일 2014.03.09
  • 워드파일 Agarose gel electrophoresis
    에는 Tris, Phosphoric acid(인산), EDTA TBE 에는 Tris, Boric acid (붕산), EDTA TE 에는 그냥 Tris, 그리고 EDTA 4종류의 버퍼들은 ... 플라스미드, 파아지, 비루스 등의 이중가닥 DNA에서. ... 한쪽 가닥이 열린 원형이고 다른쪽이 닫힌 원형인 DNA는 직선형(이중가닥도 열린 원형 DNA보다도 이동도가 낮고, 이중가닥 닫힌 원형 DNA도 직선형 DNA보다도 이동도가 크다.
    리포트 | 3페이지 | 2,000원 | 등록일 2013.03.24
  • 파워포인트파일 친환경 설계기술적용 코오롱 건설기술 연구소
    Solar Energy System 예상 성능 Energy Saving Systems ⊙ 이중외피 시스템 ⊙ 조절식 광선반 이중외피 시스템 이중벽 사이의 공기층을 두어 열적 버퍼를 ... 버퍼에 의한 냉난방부하 감소 하단에는 수납공간 설치로 실내공간 활용 내부형 이중외피 시스템 (Double Skin System) 건축물 적용성 및 성능 평가 이중외피 적용의 차이만을 ... 겨울철 : 중공층에서 열적 버퍼를 형성하여 난방부하를 절감 여름철 : 중공층에 외기를 도입해 냉방부하를 절감 소음을 차단하는 스크린효과 도입배경 건물에서 에너지 손실의 가장 큰 경로는
    리포트 | 42페이지 | 3,000원 | 등록일 2011.09.03 | 수정일 2014.06.11
  • 한글파일 에너지 절감을 위한 건축마감법
    받을 수 있음 - 밀페된 공간의 오염된 공기로 인한 불쾌적함을 감소시킬 수 있다. - 초고층건물에서 풍압의 감소로 인해 창문 개폐가 가능 * 에너지 절약 - 겨울철 열적 버퍼를 형성하여 ... 특성 1)개념도 2)이중외피 시스템의 특징 * 자연환기 우수 - 이중 외피에 의해 외부 자연환경의 영향으로부터 보호되어 창문개폐가 자유로와자연환기가 가능해 상시 신선한 공기를 제공 ... 에너지 절감을 고려한 건축마감법 종류 및 특징 건축학과 20937115 김대철 차 례 --------- 고기밀 고단열 방화문 3 이중외피 시스템 4 태양열 시스템 5 옥상녹화 6
    리포트 | 10페이지 | 1,000원 | 등록일 2014.09.17
  • 워드파일 인 메모리 컴퓨팅의 도입이 기업 경영에 미칠 영향과 기술적 개선 방향
    Online Analytical Processing)의 이중 아키텍처 또한 실시간 의사결정을 하고자 하는 비즈니스 사용자의 요구사항을 만족시킬 수 없는 구조였다. ... IBM에서는 데이터 관리를 위해 DRAM을 PRAM의 버퍼로 활용하는 경우에 DRAM과 PRAM의 구성 비율을 어떻게 하는 것이 적당한지에 대한 연구가 진행되었다. ... 방법은 PRAM이 DRAM을 완전 대체하는 경우, PRAM이 DRAM을 확장하는 형태로 사용되는 경우, PRAM이 L1 캐시와 L2 캐시처럼 시스템에 의해 자동으로 관리되는 DRAM 버퍼
    리포트 | 6페이지 | 1,500원 | 등록일 2014.04.18
  • 파워포인트파일 신뢰성 있는 스트림 전송 서비스
    이중화된 연결의 장점은 기반 프로토콜 소프트웨어가 반대방향으로 데이터를 실어 나르는 데이터그램들에 하나의 스트림에 대한 제어 정보를 담아서 근원지로 되돌려 보낼수 있다는 것이다 . ... 신뢰성 있는 전송 서비스의 특성 버퍼링되는 전송 (buffered transfer) 버퍼를 채우는 것을 기다리지 않고 데이터가 전송되어야 하는 애플리케이션을 위해서 스트림 서비스는 ... 전송 측에서 푸시는 프로토콜 소프트웨어에 버퍼가 찰 때까지 기다리지 않고 생성된 모든 데이터를 강제로 전송한다 .
    리포트 | 21페이지 | 1,500원 | 등록일 2012.04.17
  • 파워포인트파일 이중외피 개념,특징,유형 및 사례
    이중외피 시스템 사례 전면형(Multistory Facades Type)이중외피 시스템 사례 건물명 환기계획 GWS 사옥 이중외피시스템을 이용한 자연환기 버퍼의 특징 태양에너지의 획득과 ... Doppel Fassade 환경친화건축 과제#1 ▸ 목 차 이중외피의 개념 및 작동원리 이중외피 시스템의 특성 이중외피 시스템의 유형 - 상자형 - 복도형 - 굴뚝 상자형 - 전면형 ... Regierungsviertel in Berlin 베를린 정부 경찰서와 소방서 박스형 이중외피시스템 ▸ 상자형 이중외피/유출구. ▸ 이중외피시스템 사례 ▸ 상자형 이중외피 시스템 사례
    리포트 | 49페이지 | 4,000원 | 등록일 2013.06.21
  • 한글파일 Restriction enzyme site mapping, NCBI에서 BLAST 검색
    둘의 조성이 같기 때문에 2개의 제한효소를 한꺼번에 넣어 이중절단을 할 때 문제가 되지 않는다. 만약 버퍼의 조성이 달랐다면, 버퍼의 pH조성이 낮은 것부터 사용해야 한다. ... 제한효소마다 버퍼 조성이 다르다. BamHⅠ과 HindⅢ 제한효소는 둘 다 버퍼E를 사용한다. ... 물론 B/H 이중절단 역시 잘리지 않았다. 전기영동결과 끌린 듯한 이미지가 나타났다.
    리포트 | 7페이지 | 2,000원 | 등록일 2011.07.30
  • 한글파일 실험23 AD/DA 변압기 예비 예비보고서
    +S _{0} {1} over {2 ^{n}} D/A 변환기가 CMOS, PMOS 또는 low-power TTL 이면 버퍼가 필요 없게 된다. ... 또한 전압-시간 변환형은 아날로그 입력 전압 V에 비례하는 시간 T를 발생시켜 이 기간 동안에 기준 clock의 수를 계수하여, A/D 변환을 시키는 방법으로서 이중 경사형, 펄스폭 ... (dual slope integrating) A/D 변환기 이중적분형 A/D 변환기는 일정한 시간 t _{1} 동안 아날로그 입력신호 V _{i} 를 적분하고 나서 계수기를 리셋한
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.29 | 수정일 2018.10.15
  • 한글파일 메모리의 종류
    이중포트를 가짐으로서 동시에 읽기와 쓰기의 데이터 처리가 가능한 것입니다. ... DRAM은 우선 접근을 하여 주소를 읽고 그 주소의 데이터를 버퍼에 읽습니다. 그러므로 버퍼는 주소를 가지므로 그것만으로 데이터에 접근을 하는 것이 가능해 집니다. ... 단일 포트로서의 처리가 한계를 드러내면서 대안이 필요하게 되었고 그에 따라 이중 포트(Dual Port)를 사용하는 램이 필요하게 되었습니다.
    리포트 | 5페이지 | 1,000원 | 등록일 2011.06.18
  • 한글파일 연습문제 풀이
    이중버퍼시스템은 한 주기가 끝나면 두 개의 버퍼는 교환된다. 데이터 접근시간의 갑작스런 변동에 의한 끊김 현상을 피하기 위해 추가 버퍼를 사용한다. 1. ... 플립플롭(flip-flop buffering) 버퍼링이라고도 한다. ● 이중버퍼시스템의 단점을 보완하기 위해 3개의 버퍼를 두는 기법. ... 이 름 : 12.3 이중 버퍼링(double buffering)이란 무엇인가? 삼중 버퍼링은 어떻게 수행되는지를 설명하라. 삼중 버퍼링은 언제 사용하면 좋겠는가?
    리포트 | 3페이지 | 3,000원 | 등록일 2009.10.25
  • 한글파일 자연형디자인의 이해
    -에너지 절약 1) 겨울철 열적 버퍼를 형성하여 난방부하 절감 2) 여름철 중공층 내의 데워진 공기를 환기하여 냉방부하 감소 3) 낮 동안 축열된 열을 밤 동안 자연환기로 배출되어 ... 원리는 이중외피, 광선반, 전력자체생산, 흡수식 냉동 시스템, 조명 자동 제어 시스템 등이 있다. ... 증가(투명유리 사용 및 고반사 재료를 사용해 보완) -건물의 가치증대 1) 이중외피의 주재료를 철과 유리로 사용하므로 하이테크한 입면 구성 ?
    리포트 | 3페이지 | 1,000원 | 등록일 2011.10.11
  • 한글파일 건축물 외피 설계 조사,
    공기로 인한 불쾌적함을 감소시킬 수 있다. - 초고층건물에서 풍압의 감소로 인해 창문 개폐가 가능 * 에너지 절약 - 겨울철 열적 버퍼를 형성하여 난방부하 절감 - 여름철 중공층 내의 ... * 자연환기 우수 - 이중 외피에 의해 외부 자연환경의 영향으로부터 보호되어 창문개폐가 자유로와 자연환기가 가능해 상시 신선한 공기를 제공 받을 수 있음 - 밀페된 공간의 오염된 ... 미사용 - 최신 개발된 세계 최고의 단열재 - 최소의 단열두께로 공간구조 활용 - 혁신적인 열전도율(0.004 W/m.K 이하) - 기존 보온단열재 대비 10배 이상의 단열성능 4.이중외피
    리포트 | 7페이지 | 2,000원 | 등록일 2013.05.02
  • 파일확장자 자료구조를 이용한 사이클검사
    그리고 인접행렬을 표현하기 위해 arr 이중 포인터를 사용하여 노드개수 만큼 크기를 잡고 arr[in.key][in.link] 를 이용하여 배열값에 1을 넣어 줌으로써 노드key 가 ... 담고 버퍼내용의 값을 char 형이기 때문에 atoi를 이용하여 int 형으로 변환후 각 노드들을 임시로 Graph in 에 담습니다. ... 내용이 인풋 파일로 주어지기 때문에 저번에 사용한 파일 읽어오는 방법을 사용 하였습니다. while 문으로 feof 를 이용하여 끝에 도달하여 NULL 일때까지 내용을 하나줄씩 버퍼
    리포트 | 14페이지 | 1,500원 | 등록일 2010.12.03
  • 한글파일 [생화학]핵산 전기영동 실험
    버퍼상에 DNA를 로딩하면 가벼워서 DNA가 버퍼에 떠버리는데 , loading dye는 이런 문제점을 해결하기 위해 ficol과 같은 고분자 물질을 사용한다. ... 또한 핵산이 단가닥인지 이중가닥인지 DNA인지 RNA인지 혹은 올리고 뉴클레오티드냐에 따라서도 흡광도가 달라지므로 핵산의 종류에 따라 그 흡광도에 적당한 수를 곱해서 핵산의 농도를
    리포트 | 2페이지 | 1,000원 | 등록일 2010.12.30
  • 파워포인트파일 다암예술원 사례조사(DAAM PROJECT SUMARY) 친환경 건축물 사례조사
    이로 인한 해결 책으로는 내 , 외부의 온도 차를 줄이는 방법으로 이중외피 시스템은 실외와 실내 사이에 버퍼 공간 을 두어 겨울철에는 난방 부하를 , 여름철에는 냉방부하를 절감할 수 ... GREEN - 환경 부하의 절감 계절별로 땅속의 온풍과 냉풍을 이용한 자연형 냉난방 설비 EARTH - 환경 부하의 절감 이중외피 시스템음 외측 파사드는 영향을 최소화하면서 자연환경 ... 조건을 최대한 이용할 수 있는 시스템 실외와 실내 사이에 버퍼 공간을 두어 , 겨울철에는 난방부하를 , 여름철에는 냉방부하 절감 난방 지중열로 배관안을 순환하는 냉매를 데움 냉방
    리포트 | 34페이지 | 2,500원 | 등록일 2012.09.14 | 수정일 2013.11.06
  • 워드파일 네트워크관리사 2급 필기요약
    링크설정->데이터전송->링크해제->회선해제 OSI7레이어 순서대로 : 물리->데이터링크->네트워크->전송->세션->표현->응용 (물데네전세표응 으로 외우면쉬움) 데이터전송방식 -> 반이중 ... 데이터에러복구, 단위:포트) 6계층 : 표현계층 (Pressentaion Layer , 번역 및 암호화를TCP헤더의 설명으로 올바른 것 -> Window Size : 현재상태의최대버퍼크기를말한다
    시험자료 | 9페이지 | 4,000원 | 등록일 2017.04.22 | 수정일 2019.09.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업