• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,403)
  • 리포트(1,260)
  • 자기소개서(79)
  • 방송통신대(27)
  • 시험자료(26)
  • 서식(3)
  • ppt테마(3)
  • 논문(2)
  • 표지/속지(2)
  • 이력서(1)

"알람시계" 검색결과 1-20 / 1,403건

  • 한글파일 디지털 알람 시계 (디지털 시계 알람 기능 구현)
    시계’를 프로젝트 주제로 선정했다. (2) 최초 목표 및 사양 사용자가 직접 현재 시각과 알람 시각을 설정할 수 있고, 설정한 알람 시각에 알람이 울리며, 시, 분, 초가 표시되는 ... 디지털 알람시계를 제작한다. [2] 연구내용 (1) 설계 관련 이론 1) 카운터 회로 [7490 IC] 7490 IC는 2진 카운터와 5진 카운터가 내장되어있는 IC 칩이다. 2진 ... 즉, 이 카운터 회로를 통해 00시부터 11시까지 나타낼 수 있는데, 이는 추후 알람 시계를 설정하는 부분에서 사용자가 시각을 5시로 설정하였을 경우, 오전 5시와 오후 5시에 모두
    리포트 | 13페이지 | 1,500원 | 등록일 2020.12.19
  • 한글파일 [아두이노 프로젝트] 멜로디 알람 시계
    멜로디 알람 시계 [목차] 1. 구현 방법 2. 회로도 3. 소스 코드 해설 4. 소스 코드+주석 1. 구현방법 브레드보드에 스위치를 연결한다. ... 나간 음과 똑같이 입력했을 경우 알람을 끕니다. ... 시리얼 통신을 통해 알람시간을 입력받습니다. 그리고 시리얼 모니터에 출력합니다. PM의 경우 1시는 +12를 해 13시로 입력해줘야합니다.
    리포트 | 23페이지 | 10,000원 | 등록일 2021.06.16
  • 파일확장자 verilog를 이용한 DIGITIAL CLOCK(시계,알람,타이머)
    "verilog를 이용한 DIGITIAL CLOCK(시계,알람,타이머)"에 대한 내용입니다.
    리포트 | 17페이지 | 5,500원 | 등록일 2019.08.04 | 수정일 2022.06.01
  • 파워포인트파일 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작 Contents Conclusion 작동 원리 주요 소요 부품 회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time ... 회로도 및 회로 설명 ( 알람 ) 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현 3.
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 워드파일 [전자전기컴퓨터설계실험2] Verilog를 이용한 디지털 시계 (알람, 스탑워치, LED 기능 포함)
    //Digital_Clock.v module Digital_Clock(RESETN, CLK, LCD_E, LCD_RS, LCD_RW, LCD_DATA, PIEZO, BUS, BUT, LED); input RESETN, CLK; input [7:0] BUS; // BUS..
    리포트 | 81페이지 | 5,000원 | 등록일 2020.09.07
  • 파워포인트파일 [알람시계 디자인 PPT배경양식] - 알람시계 시간 시간표 시간관리 아침형인간 플랜맨 PPT템플릿 디자인 서식 배경파워포인트 테마양식 PowerPoint PPT테마 프레젠테이션
    Unauthorized distribution is prohibited assumptions or design of their power points . Take advantage of a variety of patterns and good power point pre..
    ppt테마 | 35페이지 | 1,500원 | 등록일 2019.05.30
  • 파워포인트파일 사무실 책상 시계 알람 템플릿
    Title of PPT subject Made by 메이코패스 of happycampus 1. Lorem ipsum dolor sit amet , consectetur 2 . Lorem ipsum dolor sit amet , consectetur 3 . Lorem i..
    ppt테마 | 32페이지 | 1,500원 | 등록일 2017.12.16
  • 파일확장자 (A+) Atmega128 을 사용하여 제작한 다기능 시계(시계,알람,스톱워치,타이머) 코드 소스
    네 번째 모드는 알람기능입니다. 알람시간을 설정하면 첫 번 째 모드인 시계에서 시간을 받아들여 시간이 일치하게되면 반짝거립니다.Ⅲ. ... 작품 설명 Atmega128을 응용하여 다기능 시계를 만들어 보았습니다. 실생활에 자주 사용되어지는 알람, 스톱워치, 타이머 기능들 을 추가하여 보았습니다. ... 첫 번째 기본 화면은 일반 시계입니다. 마지막 4번째 버튼 을 제외한 나머지 버튼으로 시간을 조정할 수 있습니다.
    리포트 | 24페이지 | 3,000원 | 등록일 2019.03.19 | 수정일 2019.03.25
  • 한글파일 8051 디지털 알람 시계 프로젝트 보고서
    실험 프로젝트 보고서 (8051 디지털 알람 시계) 1. 목표 실험(4) 수업시간에는 한 학기동안 AVR의 기본적은 사용방법과 응용방법에 대해 배웠다. ... 디지털 시계의 특징은 스위치를 이용하여 ON과 OFF의 상태에 따라 다른 기능을 하는 시계가 된다는 것이다. ... 알람을 설정하여 알람시간이 되면 1분 동안 Buzer가 울리게 된다. 또 알람시간이 save되어있고 알람이 ON상태이면 Yellow LED가 켜져 있고었다.
    리포트 | 28페이지 | 3,500원 | 등록일 2015.11.09 | 수정일 2015.12.14
  • 한글파일 알람 앱(어플) 비교 - 알람시계 Xtreme, 알람몬, 숙면 사이클 시계를 중심으로 -
    선정 앱: 알람시계 Xtreme, 알람몬, 숙면 사이클 시계 알람 앱으로 여러 가지가 있었지만 그 중에서 알람시계 Xtreme, 알람몬, 숙면 사이클 시계의 세 가지를 선택하였다. ... 알람 앱 비교 - 알람시계 Xtreme, 알람몬, 숙면 사이클 시계를 중심으로 - 앱 선정 기준 분야: 유틸리티 - ‘알람’ 바쁜 현대인에게 ... 숙면 사이클 시계알람몬과 알람시계 Xtreme이 제공하는 카운트다운 타이머 기능(각각에서 퀵 알람, 낮잠 타이머)을 제공하지 않고 있다.
    리포트 | 16페이지 | 1,000원 | 등록일 2014.06.27 | 수정일 2014.09.06
  • 워드파일 디지털 알람시계 설계 보고서 - 디지털회로설계및언어
    디지털 알람시계 설계 보고서 1. 주제 : Digital Alarm Clock 2. 기능 1. 설계주제 - 알람기능을 하는 시계 구현. 2. ... = 0) begin Alarm_CNT = Alarm_CNT - 3'b001; set_PTR = 1; end else begin clear_PTR = 1; end (5) 일반 시계 작동 ... test_bench 3의 검증을 시도해 보았지만 실제 결과와 다소 다르게 나왔다. 2) simulation8(test_bench 8)결과 시뮬레이션에서 아래의 사항들을 검증 할 수 없었다. 3) 시계
    리포트 | 15페이지 | 3,500원 | 등록일 2017.11.16
  • 파워포인트파일 스마트 격언 알람 시계
    다른 알람 시계보다 잘 일어나도록 한다 . 다른 알람 시계보다 저렴하다 . 15 2. ... 스마트 격언 알람 시계 정보통신전자공학부 이정수 제안서 1 목 차 Ⅰ. 서론 1. 문제제기 2. 문제 해결을 위한 단상 Ⅱ. 본론 1. 효용성 2. 알고리즘 3. ... : 뇌파 이용 → “ 큰 소리로 격언 따라 읽기 ” 를 사용하는 알람 시계를 구현하기로 결정 ! 5 Ⅱ. 본론 효용성 ( 물건을 보람있게 쓸 수 있는 정도 ) 알고리즘 2.1.
    리포트 | 16페이지 | 2,500원 | 등록일 2010.03.31
  • 파일확장자 <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 알람시계는 00:00:00 ~ 23:59:59 의 시간범위로 운용되며 AVR의 타이머/카운터 기능을 베이스로 ‘1초’를 카운팅하여 시간을 구성한다. ... 디지털 시계가 전원이 꺼진 후에도 알람시간 데이터와 설정 데이터를 유지할 수 있게 하기 위하여 EEPROM에 데이터를 저장하고 불러올 수 있게 하였다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 한글파일 VHDL Digital Alarm Clock 디지털 알람 시계
    digclock.vhd Library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity digclock is port( CLK :in std_logic; RST :in std_logi..
    리포트 | 17페이지 | 5,000원 | 등록일 2011.06.14
  • 파일확장자 FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    디지털시계 - 디지털시계의 기능은 크게 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 ... 알람기능에 부가적인 기능을 추가하여 늦잠을 예방함. ... FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.
    리포트 | 3,000원 | 등록일 2014.12.30
  • 한글파일 HDL Verilog 알람시계
    만약, Loadalm값이 들어오게 되면 알람을 맞출 수 있게 해주었고, 그 밖에는 계속 시간을 가게 해 주었다. 세 번째 부분은 알람과 현재 시간을 비교해서 작동하는 부분이다. ... 만약, 시간의 셋팅과 알람의 셋팅이 초, 분, 시간 모두 같을 경우에는 alarm을 1로 설정해 작동하게 하였고, 이 중의 하나라도 일치하지 않을 경우에는 alarm을 0으로 동작하게 ... mins; hours = hours; am_pm = am_pm; end end end always@(posedge clock_1sec or negedge reset) //clk, 알람
    리포트 | 8페이지 | 5,000원 | 등록일 2007.06.21 | 수정일 2023.04.10
  • 한글파일 AVR 마이크로 프로세서 ATmega128 을 이용하여 시계, 스탑 워치, 알람, 다운 카운터 실험 설계 프로젝트 (코드 포함)
    Mode 0 : Digital Clock 가장 기본적인 기능으로써 Timer을 이용한 디지털시계이다. ... Mode 2 : Alarm Digital Clock의 알람을 설정하는 기능이다. Mode0과 1의 코드를 응용하여 사용하였다. ... 주어진 코드를 분석했을 때 데이터 포트로 FND로 출력을 하는 GPIO, 스위치를 통한 INTERRUPT, 시간을 정확하게 측정하기 위한 TIMER, 그리고 알람을 위한 OCR의 원리를
    리포트 | 29페이지 | 5,000원 | 등록일 2017.01.11
  • 파일확장자 pxa270을 이용한 알람시계 임베디드 프로젝트
    그후에 시계가 동작하게 되며 설정된 시간이 되면 알람이 울리고 구구단게임 및 덧셈게임을 이용하여서 알람을 푸는 형식입니다. 4. ... 이에 프로젝트를 주제를 수정하여 도어락에 좀더 아이디어를 변형시켜서 알람시계를 만들어 보았습니다.세부기능 설명 : 처음 시작하면 사용자에게 현재시간을 입력받고 알람이 울릴시간을 입력받습니다 ... 알람시계원래 프로젝트 주제는 터치피아노를 이용한 피아노연주 or 피아노연주로 도어락수행 이였으나 터치센서의 부정확함으로 인하여 피아노의 기능을 제대로 수행하지 못하였습니다.
    리포트 | 27페이지 | 5,000원 | 등록일 2011.12.23
  • 파일확장자 Verilog HDL 알람시계, 최대공약수 구하는 프로그램
    Verilog HDL 을 이용한 Digital Alarm Clock, GCD 구하는 프로그램. 1. Alarm 기능을 갖는 digital clock 2. GCD (최대공약수) 3. 리포트(Simulation 포함)
    리포트 | 7페이지 | 2,000원 | 등록일 2010.05.26
  • 한글파일 센서를 이용한 장애물 회피기능 알람 시계 제작
    그림 3.1 시스템 설명도 알람시계와 일종의 주행로봇이 결합된 구조로서 알람 시간이 되면 사람의 손과 주변의 장애물을 피해 혼자 도망다니면서 부저를 울리게 된다. ... 공학사 학위청구논문 센서를 이용한 장애물 회피 기능 알람시계 제작 Design of an Obstacle Avoidance AlarmClock using the sensors 충 북 ... 공 학 사 학 위 논 문 센 서 를 이 용 한 장 애 물 회 피 기 능 알 람 시 계 제 작 권 오 성 2 0 1 1 년 2 월 공학사 학위논문 센서를 이용한 장애물 회피 기능 알람시계
    리포트 | 60페이지 | 5,000원 | 등록일 2011.03.28
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업