• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,694)
  • 리포트(6,621)
  • 시험자료(492)
  • 방송통신대(297)
  • 자기소개서(244)
  • 논문(32)
  • ppt테마(4)
  • 서식(3)
  • 이력서(1)

"논리적코드" 검색결과 1-20 / 7,694건

  • 파일확장자 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다. ... 코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다. 1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 파일확장자 16bit 가산기 / 16bit adder / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    각각 [15:0] a, [15:0] b, cin 으로 대응된다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.10.17
  • 파일확장자 아주대학교 논리회로 / VHDL 설계 과제 보고서 (4 bits Gray to Binary code conversion)
    논리회로 설계 내용 및 동작 원리 설명A) 알고리즘4비트 그레이 코드를 4비트 2진 코드로 변환 하 는 일반적인 알고리즘은 다음과 같다 .1. 4비트 그레이코드를 입력한다.2. ... 따라서 각 4비트 그레이코드에 해당하는 2진코드를 확인할 필요가 있다. 이를 본 과제의 주제에 맞춰 진리표 형식으로 표현하면 다음과 같다. ... 출력된 B1와 G0 (LSB)의 입력값을 XOR 연산하고 B0 (LSB)에 출력한다.B) 진리표와 최소식위 방식은 그레이코드를 4비트 2진코드로 변환하는 일반적인 방법이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.06.05
  • 파일확장자 전자공학과 논리회로 A 설계 프로젝트 보고서 (VHDL코드포함)
    -각 계산과정마다 overflow가 발생할 수 있으므로 overflow발생지점을 0으로 초기화 하였다.마지막 step에 대한 알고리즘 및 논리 설명2’s complement의 4bit ... 각 step에 대한 알고리즘 및 논리 설명 -곱하는 수(multiplier)의 자릿수에서 0이면 과정을 넘어가고 1이면 계산을 진행한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2020.12.10
  • 파일확장자 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함)
    요구사항 달성 정도1) 설계측면에서 요구사항 달성 정도 -우리 조는 4*4 keypad모듈에서 정의한 A, B, C, D버튼을 이용하여 비밀번호 재설정 기능을 추가하기로 하였다.
    리포트 | 17페이지 | 3,000원 | 등록일 2020.12.17
  • 한글파일 디지털논리실험-특수코드 카운터 예비레포트
    Binary코드 Excess-3코드 Gray코드 BCD코드 A B C D A B C D A B C D A B C D E 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 ... 현재 상태 다음 상태 A B C A ^{+} B ^{+} C ^{+} J _{A} K _{A} J _{B} K _{B} J _{C} K _{C} 0 0 0 0 0 1 0 1 0 0 ... } K _{A} = {bar{B}} {bar{C}} J _{B} = {bar{A}} C K _{B} =AC J _{C} = {bar{A}} {bar{B}} +AB K _{C} = {
    리포트 | 2페이지 | 1,000원 | 등록일 2016.11.09
  • 한글파일 논리회로설계실험 OR gate 코드와 Half Adder 코드
    1.HDL 코드전가산기를 구성하기 위해 필요했던 이전시간에 작성했던 OR gate 코드와 Half Adder 코드 도 첨가했습니다. ... ※half_adderlibrary IEEE;use IEEE.std_logic_1164.all;entity half_adder isport(x, y : in std_logic;s, c
    리포트 | 5페이지 | 3,000원 | 등록일 2010.12.22
  • 파일확장자 디지털 논리 회로 maxplus 곱셈기 나누셈기 만들기(코드, 보고서)
    처음 시작할 때 reset이 1일 경우 시작하게 해주었고 한 clock에 한 번씩 실행 시켜주도록 만들었습니다. ... 더해주는 과정과 shift를 할 때 마다 각각 count 해주어 총 16번이 발생하도록 만들어 주었고 16번이 끝나는 뒤에는 더 이상 변화가 없도록 해 주었습니다.
    리포트 | 13페이지 | 3,000원 | 등록일 2014.01.12
  • 파일확장자 [논리회로과제]해밍코드 4 Input 7 Output ENCODER,DECODER 만들기
    4비트의 입력을 받아 7비트의 해밍코드를 출력하는 인코더를 만든다.A,B,C,D는 정보비트, H1에서 H7는 해밍코드이다. ... 왼쪽 그림의 entity와 entity안의 architecture을 구성하여 해밍코드가 출력되도록 하는 vhdl을 구성하고 testbench file로 simulation하여 입력값과
    리포트 | 15페이지 | 4,000원 | 등록일 2013.01.21
  • 한글파일 논리회로실험 - 제 5장 ALU 코드를 KIT에 올리는 실험 결과보고서
    S3값에 따라 산술연산을 할 것인지 논리연산을 할 것인지 결정하게 된다. 3. ... 조교님이 주신 코드를 잠시 살펴보면 코드는 크게 3가지로 구성되어 있다는 것을 알 수 있다. 처음으로 신호들이 선언된 entity구문이다. ... 과 목 : 논리회로설계 과 제 명 : 결과보고서 5 담당교수 : 김종태 학 과 : 전기전자공학부 학 년 : 3 학 번 : 이 름 : 제 출 일 : 2013 / 5 / 8 1.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.08.15
  • 파일확장자 디지털 논리회로 시계 타이머 스탑 와치 알람 만들기 maxplus이용 (코드, 보고서)
    ;reg [6:0] check2;reg [7:0] check3;reg [3:0] stop1,stop2,stop3,stop4;reg [3:0] print1,print2,print3,print4 ... module digitalclock(swbutton1, swbutton2,set,reset,clk,segment1,segment2,segment3,segment4,segment5,segment6 ... ,led1,led2,led3,led16);input swbutton1;input swbutton2;input set,reset;input clk;output [6:0] segment1
    리포트 | 21페이지 | 3,000원 | 등록일 2014.01.12
  • 한글파일 논리회로실험 - 제 7장 3비트 updown counter로 binary와 gray 코드로 설계 결과보고서
    (Binary code & Gray code) (1)state table (2)state diagram 5)Binary/Gray counter -Binary counter 10진법을 ... Introduction VDHL의 순차 논리 회로 설계에서 Mealy machine과 Moor machine을 이해하고 이를 3비트 up/down counter로 binary와 gray ... 구성하는 기본 설정 (1)설계 사항 -Binary/Gray code를 설계한다.
    리포트 | 20페이지 | 1,000원 | 등록일 2014.08.15
  • 파일확장자 [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    이렇게 하면 코드가 간결해 지고 반복이 줄어들며 가독성이 좋아질 것이다. ... 이러한 과정에서 기본적으로 주어진 top_traffic, clock_divier, interval_counter 와 같은 신호등 컨트롤러 소스를 분석하고 이해하여 추가적으로 제시된 ... 그리고 VHDL의 가장 큰 특징 중 하나인 concurrent 기능을 이해하여 concurrent 기능이 필요할 때와 sequntial 기능이 필요할 때를 구분하여 sequntial
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 파일확장자 Verilog 및 Quartus ll를 이용한 논리회로 설계/택시미터기 구현/소스코드/설명서/최종 PPT
    ●택시미터기 상태를 결정 하는 SWITCH가 3가지 있다. ●DIP SWITCH1번, DIP SWITCH2번과 DIP SWITCH3번을 사용한다. (S11/3번째 줄 DIPSWITCH)●SWITCH에 따른 상태를 표로 나타냈다.
    리포트 | 1페이지 | 4,000원 | 등록일 2013.11.06 | 수정일 2014.06.24
  • 한글파일 [논리설계] 한글형코드와 유니코드
    있다. - 조합형은 한글이 가지는 특성을 모두 살리는 동시에 컴퓨터의 논리성에도 부합되는 코드체계라고들 한다. - 가장 큰 장점은 현재 사용되는 모든 한글 자수를 표현할 수 있고, ... 이는 제4상한에서 모자란 글자를 제3상한을 이용해 확장한 코드체계로 2수준 한글이라고 한다. 4) 2 바이트 완성형 한글(KS 완성형) · KS C5601의 일부이다. ... 체계와 국제 규격의 문제 · ASCII codeset 사용시 0에서 127까지만 있어도 프로그램 제작에 별 지장이 없고, 나머지 128에서 255 까지는 그래픽 문자코드에 해당된다
    리포트 | 7페이지 | 1,000원 | 등록일 2003.03.31
  • 한글파일 컴퓨터개론 논리회로 시스템분석.. 등에서의 코드의 종류
    code) ① 정의 : 코드 자체로 오류를 검출할 수 있는 코드로 해밍코드(hamming code)나 체크 디지트(check digit), 패리티 체크(parity check) 등이 ... code) ① 정의 : 약호코드코드화 대상 항목 명칭과 관계가 있는 문자나 숫자 등을 조합하여 표현하는 코드를 말한다. ... 이것은 에러 검출과 코드를 만들기 위한 디지털 회로를 작동시키는데 용이하게 사용. ⑿합성코드(combined code) ① 정의 : 두 개 이상의 코드를 조합하여 만든 코드가 합성
    리포트 | 9페이지 | 1,000원 | 등록일 2008.05.14
  • 한글파일 [논리회로] 코드변환기
    BCD to Excess-3 코드 변환기의 상태표 및 여기표 A B C D A' B' C' D' JA KA JB KB JC KC JD KD 0 0 0 0 0 0 1 1 0 × 0 × ... BCD to Excess-3 코드 변환기】 【PSpice 파형】 ※ clock 주기 10ms clock 주기를 10ms로 한 결과 초기 값인 0011은 제대로 나왔으나 그 다음 값인 ... 예를 들어, BCD 코드를 Excess-3 코드로 변환기를 4개의 Flip Flop을 사용하여 설계하면 다음과 같다.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.08.14
  • 한글파일 [논리회로] 특수 코드 카운터
    Cody A B C D A B C D A B C D A B C D E 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 ... 디지털 회로에서 사용되는 대표적인 코드로서 Binary 코드 외에 ASCII 코드, Excess-3코드, BCD 코드, Gray 코드 등이 있다. ... 11 × × 10 0 0 KB C AB 0 1 00 × × 01 0 0 11 0 1 10 × × JC C AB 0 1 00 1 × 01 0 × 11 1 × 10 0 × KC C AB
    리포트 | 4페이지 | 무료 | 등록일 2003.08.14
  • 한글파일 [논리회로실험]특수 코드 카운터
    C D A B C D A B C D A B C D E 0 0 0 0 0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0 1 0 1 0 0 0 0 0 1 0 0 0 0 1 0 ... 표 11. 1은 Binary 코드와 Excess-3 코드, Gray 코드, BCD 코드를 나타낸 것이다. { Binary 코드 Excess-3 코드 Gray 코드 BCD 코드 A B ... 디지털 회로에서 사용되는 대표적인 코드로서 Binary 코드 외에 ASCII코드, Excess-3 코드, BCD 코드, Gray 코드 등이 있다.
    리포트 | 10페이지 | 1,500원 | 등록일 2004.09.18
  • 한글파일 [기독교]김정일코드-포용과 공존의 논리
    과목명: 교수명: 학교 학과 : 학 번 : 성 명 : 제출일: REPORT 제목 : 김정일코트[서평] 【목차】 김정일 코드(서평) - 포용과 공존의 논리 서론 본론 Ⅰ. ... 이외에도 커밍스 교수는 자신의 ‘포용과 공존’의 논리를 보다 20 ... 본론 - 각 장(chapter)별로 중요하게 다루어야 할 부분을 정리하고, 그에 대한 간단한 리뷰(review)를 해 본다. Ⅰ. 김일성의 전설 - 1912년 4월 15일 출생.
    리포트 | 9페이지 | 1,200원 | 등록일 2006.06.01
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업