VHDL을 사용한 인스트럭션 수행 simulator 제작
- 최초 등록일
- 2008.11.13
- 최종 저작일
- 2008.10
- 31페이지/ 한컴오피스
- 가격 2,000원
소개글
인스트럭션 수행 simulator를 설계함으로써 VHDL로 데이터패스를 기술하는 방법을 익히며, 인스트럭션의 수행되는 과정에 대해 자세히 이해한다.
VHDL을 사용해 32-bit 인스트럭션을 수행하는 데이터패스 구조를 설계하고 이에 대해 simulation을 수행한다.
목차
1. 제목
2. 설계 목적
3. 설계 내용
1) 설계 목표
2) 설계 내용
3. 설계 과정
1) 목표 및 기준설정
2) 분석
3) 설계
4) 시험
5) 평가
4. 논의 사항
본문내용
시뮬레이션 동작과정
① Main Memory에서 I-th, (i+1)-th, (i+2)-th, ... 의 Instruction이 Fetch됨
② Instruction에 구성된 Operation Code정보에 의하여 Instruction Decoding
③ Instruction에 구성된 Source Address Information에 의하여 MM의 데이터가 CPU의 Resister로 Operand Fetch됨
④ Instruction Decoding과정에서 보내진 Control Signal에 의해서 ALU를 통해 연산 수행
⑤ 수행된 결과는 다시 Main Memory에 저장됨.
⑥ 다음 수행이 예정된 Instruction 수행
- VHDL을 사용하여 데이터패스를 기술
--------------------------------------------------------------------
Top 파일
--------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity CPU_top is
Port ( CPU_din : in STD_LOGIC_VECTOR (31 downto 0);
CPU_start : in std_logic;
PC_reset : in std_logic;
clk : in STD_LOGIC);
end CPU_top;
architecture Behavioral of CPU_top is
component controller
Port ( CTRL_din : in STD_LOGIC_VECTOR (7 downto 0);
CTRL_clk : in std_logic;
CTRL_start : in std_logic;
CTRL_start_mux_sel : out std_logic; -- load Starting MUX signal
참고 자료
없음