Max+ 7 세그먼트 디코더 ( 7 Segment decoder) 설계
- 최초 등록일
- 2007.03.29
- 최종 저작일
- 2006.05
- 5페이지/ 압축파일
- 가격 1,000원
소개글
7 세그먼트 구동을 위한 디코더 설계
사용툴 : Altera Max+ 2
목차
<b>결과그림자료</b>
2-4Enable_Decoder(시뮬레이션).JPG
4-10Decoder(시뮬레이션).JPG
7Segment표시모듈(시뮬레이션).JPG
<b>실작성파일</b>
ud_decoder2_4.vhd
ud_nand3.vhd
ud_not1.vhd
ud_and4.vhd
ud_decoder4_10.vhd
ud_decoder4_10Vector.vhd
ud_not1.vhd
ud_and4.vhd
ud_decoder4_10.vhd
ud_decoder4_10Vector.vhd
ud_not1.vhd
ud_seg7_figure_display.vhd
Max+2_Decoder_7Segment.hwp
본문내용
library ieee;
use ieee.std_logic_1164.all;
--===============================================[Library]
entity ud_decoder4_10Vector is
port( Ain : in std_logic_vector(3 downto 0);
Dout : out std_logic_vector(9 downto 0));
end ud_decoder4_10Vector;
--===============================================[Define Entity]
architecture sample of ud_decoder4_10Vector is
component ud_and4 port(in1, in2, in3, in4 : in std_logic;
out1 : out std_logic);
end component;
component ud_not1 port( in1 : in std_logic;
out1 : out std_logic);
end component;
--===============================================[Define Entity]
signal not_A3, not_A2, not_A1, not_A0 : std_logic;
참고 자료
없음
압축파일 내 파일목록
Max+2_Decoder_7Segment.hwp
결과그림자료/2-4Enable_Decoder(시뮬레이션).JPG
결과그림자료/4-10Decoder(시뮬레이션).JPG
결과그림자료/7Segment표시모듈(시뮬레이션).JPG
결과그림자료/Thumbs.db
실작성파일/ud_decoder2_4/ud_decoder2_4.vhd
실작성파일/ud_decoder2_4/ud_nand3.vhd
실작성파일/ud_decoder2_4/ud_not1.vhd
실작성파일/ud_decoder4_10Vector/ud_and4.vhd
실작성파일/ud_decoder4_10Vector/ud_decoder4_10.vhd
실작성파일/ud_decoder4_10Vector/ud_decoder4_10Vector.vhd
실작성파일/ud_decoder4_10Vector/ud_not1.vhd
실작성파일/ud_seg7_figure_display/ud_and4.vhd
실작성파일/ud_seg7_figure_display/ud_decoder4_10.vhd
실작성파일/ud_seg7_figure_display/ud_decoder4_10Vector.vhd
실작성파일/ud_seg7_figure_display/ud_not1.vhd
실작성파일/ud_seg7_figure_display/ud_seg7_figure_display.vhd