• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

verilog HDL를 이용한 택시미터기 구현

*경*
개인인증판매자스토어
최초 등록일
2015.12.16
최종 저작일
2015.12
파일확장자 압축파일
가격 9,900원 할인쿠폰받기
다운로드
장바구니

소개글

택시미터기 기능 설명
1. 택시의 상태에 따라 카운트를 감소시키는 주파수가 변화한다.
1) 택시가 멈춰있을 때 - 1Hz
2) 택시가 저속일 때 - 50Hz
3) 택시가 고속일 때 - 100Hz

2. 시간이 낮과 밤일 때 감소하는 카운트 숫자가 변화한다.
1) 낮일 때 - 2500 두 번째부터 250
2) 밤일 때(할증) - 2000 두 번째부터 200

3. 시간이 낮과 밤일 때 증가하는 금액이 변화한다.
1) 낮일 때 - 1900원부터 100원씩
2) 밤일 때(할증) - 2160원부터 120원씩

4. 디스플레이에 금액과 카운트 되는 숫자를 번갈아 표시할 수 있다.
1) 스위치 off일 때 - 금액 출력
2) 스위치 on일 때 - 카운트숫자 출력

5. 택시의 상태에 따라 LED변화 속도가 바뀐다.
1) 택시가 멈춰있을 때 – 0.1Hz
2) 택시가 저속일 때 - 5Hz
3) 택시가 고속일 때 - 10Hz

6. 할증 상태에 따라 LED상태가 변화한다.
1) 낮일 때 - ring카운터
2) 밤일 때(할증) - johnson카운터

파일 구성 - 프로젝트 파일(폴더), 모듈별 코드 파일(txt), 모듈 입출력 그림(png)

컴파일 실행환경

vivado 2015.3, verilog HDL

압축파일 내 파일목록

add3_ge5.txt
b to bcd.PNG
bcd to seg.PNG
bcdcode.txt
cash.txt
clkmul.txt
counter.txt
display(main).txt
john.PNG
ledshift_johnson.txt
ledshift_ring.txt
led선택.PNG
project_1/project_1.cache/wt/java_command_handlers.wdf
project_1/project_1.cache/wt/project.wpc
project_1/project_1.cache/wt/synthesis.wdf
project_1/project_1.cache/wt/synthesis_details.wdf
project_1/project_1.cache/wt/webtalk_pa.xml
project_1/project_1.hw/hw_1/hw.xml
project_1/project_1.hw/project_1.lpr
project_1/project_1.runs/.jobs/vrs_config_1.xml
project_1/project_1.runs/.jobs/vrs_config_10.xml
project_1/project_1.runs/.jobs/vrs_config_100.xml
project_1/project_1.runs/.jobs/vrs_config_101.xml
project_1/project_1.runs/.jobs/vrs_config_102.xml
project_1/project_1.runs/.jobs/vrs_config_103.xml
project_1/project_1.runs/.jobs/vrs_config_104.xml
project_1/project_1.runs/.jobs/vrs_config_105.xml
project_1/project_1.runs/.jobs/vrs_config_106.xml
project_1/project_1.runs/.jobs/vrs_config_107.xml
project_1/project_1.runs/.jobs/vrs_config_108.xml
project_1/project_1.runs/.jobs/vrs_config_109.xml
project_1/project_1.runs/.jobs/vrs_config_11.xml
project_1/project_1.runs/.jobs/vrs_config_110.xml
project_1/project_1.runs/.jobs/vrs_config_111.xml
project_1/project_1.runs/.jobs/vrs_config_12.xml
project_1/project_1.runs/.jobs/vrs_config_13.xml
project_1/project_1.runs/.jobs/vrs_config_14.xml
project_1/project_1.runs/.jobs/vrs_config_15.xml
project_1/project_1.runs/.jobs/vrs_config_16.xml
project_1/project_1.runs/.jobs/vrs_config_17.xml
project_1/project_1.runs/.jobs/vrs_config_18.xml
project_1/project_1.runs/.jobs/vrs_config_19.xml
project_1/project_1.runs/.jobs/vrs_config_2.xml
project_1/project_1.runs/.jobs/vrs_config_20.xml
project_1/project_1.runs/.jobs/vrs_config_21.xml
project_1/project_1.runs/.jobs/vrs_config_22.xml
project_1/project_1.runs/.jobs/vrs_config_23.xml
project_1/project_1.runs/.jobs/vrs_config_24.xml
project_1/project_1.runs/.jobs/vrs_config_25.xml
project_1/project_1.runs/.jobs/vrs_config_26.xml
project_1/project_1.runs/.jobs/vrs_config_27.xml
project_1/project_1.runs/.jobs/vrs_config_28.xml
project_1/project_1.runs/.jobs/vrs_config_29.xml
project_1/project_1.runs/.jobs/vrs_config_3.xml
project_1/project_1.runs/.jobs/vrs_config_30.xml
project_1/project_1.runs/.jobs/vrs_config_31.xml
project_1/project_1.runs/.jobs/vrs_config_32.xml
project_1/project_1.runs/.jobs/vrs_config_33.xml
project_1/project_1.runs/.jobs/vrs_config_34.xml
project_1/project_1.runs/.jobs/vrs_config_35.xml
project_1/project_1.runs/.jobs/vrs_config_36.xml
project_1/project_1.runs/.jobs/vrs_config_37.xml
project_1/project_1.runs/.jobs/vrs_config_38.xml
project_1/project_1.runs/.jobs/vrs_config_39.xml
project_1/project_1.runs/.jobs/vrs_config_4.xml
project_1/project_1.runs/.jobs/vrs_config_40.xml
project_1/project_1.runs/.jobs/vrs_config_41.xml
project_1/project_1.runs/.jobs/vrs_config_42.xml
project_1/project_1.runs/.jobs/vrs_config_43.xml
project_1/project_1.runs/.jobs/vrs_config_44.xml
project_1/project_1.runs/.jobs/vrs_config_45.xml
project_1/project_1.runs/.jobs/vrs_config_46.xml
project_1/project_1.runs/.jobs/vrs_config_47.xml
project_1/project_1.runs/.jobs/vrs_config_48.xml
project_1/project_1.runs/.jobs/vrs_config_49.xml
project_1/project_1.runs/.jobs/vrs_config_5.xml
project_1/project_1.runs/.jobs/vrs_config_50.xml
project_1/project_1.runs/.jobs/vrs_config_51.xml
project_1/project_1.runs/.jobs/vrs_config_52.xml
project_1/project_1.runs/.jobs/vrs_config_53.xml
project_1/project_1.runs/.jobs/vrs_config_54.xml
project_1/project_1.runs/.jobs/vrs_config_55.xml
project_1/project_1.runs/.jobs/vrs_config_56.xml
project_1/project_1.runs/.jobs/vrs_config_57.xml
project_1/project_1.runs/.jobs/vrs_config_58.xml
project_1/project_1.runs/.jobs/vrs_config_59.xml
project_1/project_1.runs/.jobs/vrs_config_6.xml
project_1/project_1.runs/.jobs/vrs_config_60.xml
project_1/project_1.runs/.jobs/vrs_config_61.xml
project_1/project_1.runs/.jobs/vrs_config_62.xml
project_1/project_1.runs/.jobs/vrs_config_63.xml
project_1/project_1.runs/.jobs/vrs_config_64.xml
project_1/project_1.runs/.jobs/vrs_config_65.xml
project_1/project_1.runs/.jobs/vrs_config_66.xml
project_1/project_1.runs/.jobs/vrs_config_67.xml
project_1/project_1.runs/.jobs/vrs_config_68.xml
project_1/project_1.runs/.jobs/vrs_config_69.xml
project_1/project_1.runs/.jobs/vrs_config_7.xml
project_1/project_1.runs/.jobs/vrs_config_70.xml
project_1/project_1.runs/.jobs/vrs_config_71.xml
project_1/project_1.runs/.jobs/vrs_config_72.xml
project_1/project_1.runs/.jobs/vrs_config_73.xml
project_1/project_1.runs/.jobs/vrs_config_74.xml
project_1/project_1.runs/.jobs/vrs_config_75.xml
project_1/project_1.runs/.jobs/vrs_config_76.xml
project_1/project_1.runs/.jobs/vrs_config_77.xml
project_1/project_1.runs/.jobs/vrs_config_78.xml
project_1/project_1.runs/.jobs/vrs_config_79.xml
project_1/project_1.runs/.jobs/vrs_config_8.xml
project_1/project_1.runs/.jobs/vrs_config_80.xml
project_1/project_1.runs/.jobs/vrs_config_81.xml
project_1/project_1.runs/.jobs/vrs_config_82.xml
project_1/project_1.runs/.jobs/vrs_config_83.xml
project_1/project_1.runs/.jobs/vrs_config_84.xml
project_1/project_1.runs/.jobs/vrs_config_85.xml
project_1/project_1.runs/.jobs/vrs_config_86.xml
project_1/project_1.runs/.jobs/vrs_config_87.xml
project_1/project_1.runs/.jobs/vrs_config_88.xml
project_1/project_1.runs/.jobs/vrs_config_89.xml
project_1/project_1.runs/.jobs/vrs_config_9.xml
project_1/project_1.runs/.jobs/vrs_config_90.xml
project_1/project_1.runs/.jobs/vrs_config_91.xml
project_1/project_1.runs/.jobs/vrs_config_92.xml
project_1/project_1.runs/.jobs/vrs_config_93.xml
project_1/project_1.runs/.jobs/vrs_config_94.xml
project_1/project_1.runs/.jobs/vrs_config_95.xml
project_1/project_1.runs/.jobs/vrs_config_96.xml
project_1/project_1.runs/.jobs/vrs_config_97.xml
project_1/project_1.runs/.jobs/vrs_config_98.xml
project_1/project_1.runs/.jobs/vrs_config_99.xml
project_1/project_1.runs/impl_1/.init_design.begin.rst
project_1/project_1.runs/impl_1/.opt_design.begin.rst
project_1/project_1.runs/impl_1/.place_design.begin.rst
project_1/project_1.runs/impl_1/.route_design.begin.rst
project_1/project_1.runs/impl_1/.vivado.begin.rst
project_1/project_1.runs/impl_1/.write_bitstream.begin.rst
project_1/project_1.runs/impl_1/display.bit
project_1/project_1.runs/impl_1/display.tcl
project_1/project_1.runs/impl_1/display.vdi
project_1/project_1.runs/impl_1/display_3240.backup.vdi
project_1/project_1.runs/impl_1/display_3412.backup.vdi
project_1/project_1.runs/impl_1/display_4168.backup.vdi
project_1/project_1.runs/impl_1/display_4300.backup.vdi
project_1/project_1.runs/impl_1/display_4484.backup.vdi
project_1/project_1.runs/impl_1/display_clock_utilization_routed.rpt
project_1/project_1.runs/impl_1/display_control_sets_placed.rpt
project_1/project_1.runs/impl_1/display_drc_opted.rpt
project_1/project_1.runs/impl_1/display_drc_routed.pb
project_1/project_1.runs/impl_1/display_drc_routed.rpt
project_1/project_1.runs/impl_1/display_io_placed.rpt
project_1/project_1.runs/impl_1/display_opt.dcp
project_1/project_1.runs/impl_1/display_placed.dcp
project_1/project_1.runs/impl_1/display_power_routed.rpt
project_1/project_1.runs/impl_1/display_power_summary_routed.pb
project_1/project_1.runs/impl_1/display_routed.dcp
project_1/project_1.runs/impl_1/display_route_status.pb
project_1/project_1.runs/impl_1/display_route_status.rpt
project_1/project_1.runs/impl_1/display_timing_summary_routed.rpt
project_1/project_1.runs/impl_1/display_timing_summary_routed.rpx
project_1/project_1.runs/impl_1/display_utilization_placed.pb
project_1/project_1.runs/impl_1/display_utilization_placed.rpt
project_1/project_1.runs/impl_1/exception.log
project_1/project_1.runs/impl_1/gen_run.xml
project_1/project_1.runs/impl_1/htr.txt
project_1/project_1.runs/impl_1/init_design.pb
project_1/project_1.runs/impl_1/ISEWrap.js
project_1/project_1.runs/impl_1/ISEWrap.sh
project_1/project_1.runs/impl_1/opt_design.pb
project_1/project_1.runs/impl_1/place_design.pb
project_1/project_1.runs/impl_1/project.wdf
project_1/project_1.runs/impl_1/route_design.pb
project_1/project_1.runs/impl_1/rundef.js
project_1/project_1.runs/impl_1/runme.bat
project_1/project_1.runs/impl_1/runme.log
project_1/project_1.runs/impl_1/runme.sh
project_1/project_1.runs/impl_1/test_4532.backup.vdi
project_1/project_1.runs/impl_1/test_808.backup.vdi
project_1/project_1.runs/impl_1/vivado.jou
project_1/project_1.runs/impl_1/vivado.pb
project_1/project_1.runs/impl_1/vivado_3240.backup.jou
project_1/project_1.runs/impl_1/vivado_3412.backup.jou
project_1/project_1.runs/impl_1/vivado_4168.backup.jou
project_1/project_1.runs/impl_1/vivado_4300.backup.jou
project_1/project_1.runs/impl_1/vivado_4484.backup.jou
project_1/project_1.runs/impl_1/write_bitstream.pb
project_1/project_1.runs/synth_1/.vivado.begin.rst
project_1/project_1.runs/synth_1/.Xil/display_propImpl.xdc
project_1/project_1.runs/synth_1/bcdcode.tcl
project_1/project_1.runs/synth_1/bcdcode.vds
project_1/project_1.runs/synth_1/display.dcp
project_1/project_1.runs/synth_1/display.tcl
project_1/project_1.runs/synth_1/display.vds
project_1/project_1.runs/synth_1/display_utilization_synth.pb
project_1/project_1.runs/synth_1/display_utilization_synth.rpt
project_1/project_1.runs/synth_1/gen_run.xml
project_1/project_1.runs/synth_1/htr.txt
project_1/project_1.runs/synth_1/ISEWrap.js
project_1/project_1.runs/synth_1/ISEWrap.sh
project_1/project_1.runs/synth_1/project.wdf
project_1/project_1.runs/synth_1/rundef.js
project_1/project_1.runs/synth_1/runme.bat
project_1/project_1.runs/synth_1/runme.log
project_1/project_1.runs/synth_1/runme.sh
project_1/project_1.runs/synth_1/vivado.jou
project_1/project_1.runs/synth_1/vivado.pb
project_1/project_1.runs/synth_1/vivado_4896.backup.jou
project_1/project_1.srcs/constrs_1/new/taxi.xdc
project_1/project_1.srcs/sources_1/new/add3_ge5.v
project_1/project_1.srcs/sources_1/new/bcdcode.v
project_1/project_1.srcs/sources_1/new/cash.v
project_1/project_1.srcs/sources_1/new/clkmul.v
project_1/project_1.srcs/sources_1/new/ledshift.v
project_1/project_1.srcs/sources_1/new/ledshift_johnson.v
project_1/project_1.srcs/sources_1/new/presel.v
project_1/project_1.srcs/sources_1/new/segdis.v
project_1/project_1.srcs/sources_1/new/test.v
project_1/project_1.srcs/sources_1/new/wdsa.v
project_1/project_1.xpr
ring.PNG
seg선택기.PNG
sevenseg.txt
가격변환기.PNG
전체블록도.png
카운터.PNG
클록분주기.PNG

참고 자료

없음

자료후기(3)

*경*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
verilog HDL를 이용한 택시미터기 구현
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업