• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

02 논리회로설계실험 예비보고서

*태*
개인인증판매자스토어
최초 등록일
2014.09.27
최종 저작일
2014.06
6페이지/한글파일 한컴오피스
가격 3,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 실험 목표
2. 예비 이론
3. 실험 내용
4. 출처 (Reference)
5. 고찰

본문내용

1. 실험 목표
VHDL을 이용하여 반가산기와 전가산기를 동작적 모델링과 자료 흐름 모델링으로 설계한다.
그리고, 각 가산기의 논리회로를 그려본다.


2. 예비 이론
(1) 반가산기
Half adder, 반가산기는 사칙 연산을 수행하는 기본 회로이며, 2진수 한 자리를 나타내는 2개의 수를 입력하여 합(SUM)과 자리올림 수(Carry)를 구해주는 덧셈 회로로서, 컴퓨터 내부에서 가장 기본적인 계산을 수행하는 회로이다.

1bit의 2진수 2개를 연산할 때, 입력 변수의 내용은 1과 0만 존재 할 수 있으므로, 2변수에서 입력되는 조합은 다음과 같은 4가지 경우만 발생한다.

(2) 전가산기
Full adder, 2진수 한 자리만 계산 할 수 있는 반 가산기는 덧셈을 할 때 아랫자리에서 올라오는 자리올림 수를 고려하지 않는다. 따라서 반 가산기는 두 자리 이상을 계산할 때 사용할 수 없다.

아랫자리에서 올라온 자리올림을 함께 덧셈하여 두자리의 합을 계산하고, 자리올림을 다음 자리에서 함께 계산되게 하는 회로를 전 가산기라 한다. 즉, 전가산기는 두자리 2진수와 자리올림을 함께 덧셈한다.

참고 자료

반가산기 - http://jojo.namoweb.net/jusan/char3/3400-1.htm
전가산기 - http://jojo.namoweb.net/jusan/char3/3400-2.htm

이 자료와 함께 구매한 자료

*태*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
02 논리회로설계실험 예비보고서
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업