• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

복호기,부호기 관련 실험 예비보고서

*성*
개인인증판매자스토어
최초 등록일
2014.05.28
최종 저작일
2013.05
3페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

실험 예비보고서 입니다.

목차

(1) 복호기(decoder)
(2) 부호기(encoder)
(3) BCD-to-7-segment

본문내용

1, 실험 이론
(1) 복호기(decoder)
N개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2의 n승 개의 출력 중 그 숫자에 해당되는 번호만 1을 내보내고 나머지는 모두 0을 내보내는 회로이다. 디코더는 인코더가 암호화 한 일을 컴퓨터가 인식할 수 있는 것들을 해독해서 사람이 읽을 수 있게 바꾸는 역할을 한다. 디코더의 진리표를 다음과같이 만들 수 있다.

<중 략>

부호기는 복호기의 역연산을 수행하는 회로이다. 2의 n승 개 이하의 입력선과 n개의 출력선을 가진다. 한마디로, 원래 신호를 디지털 신호로 변환하는 역할을 한다. 부호기들은 입력 우선 순위를 정하여 가장 높은 우선 순위를 가지는 입력선만 해독한다. 가장 많이 쓰이는 부호기로서 우선순위 엔코더가 있는데, 우선순위 엔코더는 다수의 입력신호를 더 적은 수의 출력신호로서 내보낸다. 우선순위 엔코더의 출력은 0부터 시작하는 더 중요한 입력 비트로부터 시작되는 보통의 숫자의 2진수적인 표현으로 나타난다. 우선순위 엔코더들은 종종 작동되는 더 높은 우선순위의 신호로부터 방해신호를 제어하는데 사용된다.

참고 자료

디지털공학실험(학교 교재)-내용파악을 위하여
http://en.wikipedia.org/wiki/Main_Page-위키피디아
http://blog.naver.com/shinbowling?Redirect=Log&logNo=60001489612
http://blog.naver.com/syun?Redirect=Log&logNo=60008619639
http://terms.naver.com/entry.nhn?cid=2915&docId=1591723&mobile&categoryId=2915
*성*
판매자 유형Silver개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 예비보고서(5) 부호복호 6페이지
    실험제목 : 부호기, 복호기 - 예비보고서 1. ... 본 실험에서는 2-to-4 line 복호기와 4-to-2 line 부호기를 ... 이 장에서는 조합논리회로의 기본적인 예가 되는 복호기와 부호기의 동작 원리
  • 워드파일 기초전자회로실험 예비보고서 - 인코더, 디코더와 7-Segment Display 9페이지
    , (2011년) 예비보고서 기초전자회로실험1 실험일: 년 월 일 ... BCD-십진 복호기(BCD to Decimal Decoder) 십진 BCD부호기를 ... 인코더 디코더로 십진-BCD부호기와 BCD-십진 복호기가 있다.
  • 워드파일 디코더 예비보고서 13페이지
    변환기에서, 예상되는 입출력의 관계를 결과 보고서의 표 3과 같이 나타내라 ... 실험 목적 조합 논리회로의 기본적인 예가 되는 복호기와 부호기의 종작 원리 ... 관련 이론 (1) 복호기(Decoder) 디코더는 일반적으로 n-to-2n
  • 한글파일 실험(1) 디코더 예비보고서 4페이지
    예비보고서 제목 : 부호기(Decoder) 1. ... 우선, 이 실험에서는 조합논리회로의 기본적인 예가 되는 복호기와 부호기에 ... 데이터를 본래의 형태로 바꿔준다고 해서 복호기라고도 하며, 부호기와 복호기를
  • 한글파일 실험4 예비보고서 10페이지
    예비보고서 (1) 다음 회로도의 AND gate, OR gate와 Inverter를 ... 데이터를 본래의 형태로 바꿔준다고 해서 복호기(復號機)라고도 하며, 부호기와 ... 인코더는 우리말로 부호기(符號機)라고 한다.
더보기
최근 본 자료더보기
탑툰 이벤트
복호기,부호기 관련 실험 예비보고서
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업