• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 Digital clock설계

*승*
개인인증판매자스토어
최초 등록일
2010.12.13
최종 저작일
2010.12
20페이지/파일확장자 어도비 PDF
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL을 이용하여 시계, 타이머, 달력, 알람의 기능을 갖는 디지털 시계를 구현.
Block diagram, State Machine, Realization of operation, Analysis waveform, VHDL source code이 포함되어 있습니다.

반값 할인 이벤트 자료입니다!! 50% 할인!!

목차

1. Block diagram

2. State Machine

3. Realization of operation

4. Analysis waveform

5. VHDL source code

본문내용

※ Sequence of Mode_1: Clock ➡ Date ➡ Timer ➡ Alram
① Clock: s_1~s_2 : Express time
s_3~s_4 : Express minute
s_5~s_6 : Express sec
Mode2(setting): Push the button of No.3 one time, then set the time.
Push the button of No.3 two times, then set the minute.
Push the button of No.3 three times, then the clock is
normal state.
And push the button of No.1 then, add the state.
② Date: s_1~s_2 : Express the month
s_5~s_6 : Express the month
※ Mode2(setting): Push the button of No.3 one time, then set the month
Push the button of No.3 two times, then set the date.
Push the button of No.3 three times, then the clock is
normal state.
And push the button of No.1 then, add the state.
③ Timer: s_1~s_2 : Express the minute.
s_3~s_4 : Express the sec.
s_5~s_6 : Express the 1/100sec
※ Mode2(setting): Push the button of No.3 one time, then start the timer.
Push the button of No.3 two times, then stop the timer.
Push the button of No.3 three times, then timer is reset

참고 자료

없음
*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL을 이용한 Digital Clock(디지털 클락) 코드 및 보고서입니다. 13페이지
    모듈을 설계하고 이를 FPGA키트를 이용하여 구현한다. 2. ... Digital Clock - 시, 분, 초를 표시하는 디지털 시계 - 입력 ... 다른 스위치를 누르는 동안 Pause 및 buzzer가 울리는 VHDL
  • 워드파일 [논리회로실험] 실험11. 디지털 클락 19페이지
    Introduction 이번 실험에서는 VHDL을 이용하여 간단한 디지털 ... 과 목 : 논리회로설계실험 과 제 명 : 디지털 시계 설계 담당교수 : ... 이번 설계에서는 kit의 clock이 0에서 200이 카운트 되는 동안을
  • 한글파일 9장 VHDL 설계 툴 사용법 예비 16페이지
    디지털공학실험 ? 9장, VHDL 설계툴 사용법 예비보고서 1. ... Xilinx ISE Design Suite를 이용하여 VHDL 설계에 대해서 ... 주로 디지털 회로 설계에 사용된다.
  • 워드파일 서울시립대학교 전전설2 3주차 예비레포트(코딩 성공적, A+, 10점 만점 11점) 16페이지
    또한, 디지털 논리를 설계하는 여러가지 방법론인 bit operators, ... 위에 있는 코드에 대한 의미는 clock 신호가 들어왔을 때, a, b 값이 ... Verilog는 C를 기반으로 하여 일반적으로 VHDL에 비해 배우기 쉽다
  • 한글파일 임베디드 시스템 레포트 5페이지
    않은 VHDL과 다르게 c언어와 문법이 매우 유사하며 설계가 간단하고 대소문자를 ... Register : Latch와 Flip-flop - 클락의 엣지로 동작하며 출력이 clock ... : 디지털 회로를 설계할 때에 사용하는 하드웨어 기술언어이다. - 구조는
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 Digital clock설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업