• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

부울대수와 논리식의 간소화(결과)

*서*
개인인증판매자스토어
최초 등록일
2010.07.27
최종 저작일
2010.03
5페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 실험 결과표(이론 값과 비교)
2. 실험고찰

본문내용

1. 실험 결과표(이론 값과 비교)
1.(a) 그림 2-1와 같이 회로를 완성하여 표 2-1을 완성하시오.
표 2-1(이론/실험)

A
X
Y
0
5 / 4.987
0 / 0.022
+5
0 / 0.029
5 / 4.243


2.(a) 그림 2-2와 같이 회로를 완성하여 표 2-2와 2-3을 완성하시오.
표 2-2는 1번 pin을 +5에 연결하시오.
표 2-3은 1번 pin을 GND에 연결하시오.

3.(a) 그림 2-5과 같이 회로를 완성하여 표 2-6과 표 2-7을 완성하시오.
표 2-6은 1번 pin을 +5에 연결하시오.
표 2-7은 1번 pin을 GND에 연결하시오.

4. 그림 2-8과 그림 2-9같이 회로를 구성하여 필요한 점의 전압을 측정하여 표 2-10과 표 2-11을 완성하시오. 주의 : 그림 2-8상에 A` B를 표시하시오.

5.(a) NAND gate를 이용한 다음 회로를 구성하고, 이 회로에서 data 신호가 control 신호에 의해 제어되는 과정을 관찰할 수 있다. 다음 회로를 구성하고, 표에 결과 값을 기입하시오.
표2-11 (이론/ 실험은 하지 못해서 실험값은 적지 않음)

control signal
input data signal
output data signal
0
0
5
0
+5
5
+5
0
5
+5
+5
0

2. 실험고찰

1. 실험 3에서 X와 Y사이의 인버터 함수는 무엇인가?
- NOT gate 라고도 한다. 입력되는 값의 반대되는 값을 출력한다.
즉, +5값이 입력되면 0의 값이 출력되고 0의 값이 입력되면 +5의 값이 출력된다.




2.(a) 실험 1, 2, 3,에서 A의 데이터와 Y의 데이터를 비교하시오. Y 데이터는 1, 0, 이나 A와 같은 것이다. Y열에서 Y의 결과는 1, 0, 이나 A가 들어간다. (파형에 발생하는 glitch는 무시한다.) 예를 들어, 실험 1(a)과 (b)에서, Y는 A와 같고 Y 열에는 A가 들어간다. 실험 2(a)와 2(c)에서 Y는 항상 1과 같다. Y 열에는 1이 들어간다.

참고 자료

없음
*서*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 디지털공학개론_논리회로와 부울대수, 카로노맵의 기본개념을 정리하고 이들간의 상관관계를 긍정적인 측면에서 설명하시오. 5페이지
    상관관계 1) 논리회로와 카르노맵 2) 부울대수와 논리회로 3) 부울대수와 ... 진리표 또는 논리식을 보고 카르노맵을 이용하여 간략화를 한다. 2) 부울대수와 ... 디지털공학개론 논리회로와 부울대수, 카로노맵의 기본개념을 정리하고 이들간의
  • 한글파일 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1 10페이지
    PSpice 시뮬레이션 회로도 및 결과 - 부울대수와 카르노맵 실험 A B ... 실험목표 ① 부울 대수로 논리식간소화하고, 실험으로 확인한다. ② 카르노 ... 맵으로 논리식간소화하는 방법을 익힌다. ③ 카르노 맵으로 간소화한 논리식
  • 한글파일 [A+레포트] 부울대수의 규칙(교환법칙, 결합법칙, 분배법칙, 드모르강의 정리)들을 각각 증명해보자.(단, 부울대수식은 변수 3개(A,B,C)를 모두 사용한다.) 5페이지
    부울대수의 규칙(교환법칙, 결합법칙, 분배법칙, 드모르강의 정리)들을 각각 ... 결론적으로, 부울대수의 법칙들을 체계적으로 증명하고 이해하는 과정은 디지털 ... (단, 부울대수식은 변수 3개(A,B,C)를 모두 사용한다.)
  • 한글파일 논리회로실험) 부울대수의 간소화 예비보고서 4페이지
    간소화 - 부울대수의 간소화 방법을 사용하면 복잡한 논리식을 간략하게 나타낼 ... 실험 목적 - 부울대수의 간소화 방법을 이해한다. - 부울대수를 symbol의 ... 예 비 보 고 서 4주차 실험 3 : 부울대수의 간소화(1) - Schematic
  • 한글파일 부울대수와 논리식의 간소 7페이지
    목적 - 부울대수의 표현 방법과 논리식의 간소화 방법을 공부한다. 1) 부울대수들의 ... 기본 연산 ;부울대수에서 사용되는 모든 변수는 2개의 값 "0" 또는 " ... + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 1 ◎ 부울대수의
더보기
최근 본 자료더보기
탑툰 이벤트
부울대수와 논리식의 간소화(결과)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업