• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[vhdl] RAM설계, testbench

*종*
최초 등록일
2008.06.14
최종 저작일
2008.05
6페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

[vhdl] RAM설계, testbench

목차

[RAM (Random Access Memory)설계]

1. 임의입력
VHDL 파일
TB파일
그래프파형

2.입력값 적용
VHDL파일
TB파일
그래프파형

본문내용

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity ram is
port( CE,RD,WR : in std_logic;
Address,In_data: in std_logic_vector(3 downto 0);
Out_data: out std_logic_vector(3 downto 0)
);
end ram;

architecture behave of ram is
subtype ram_word is std_logic_vector(3 downto 0);
type ram_table is array(0 to 15) of std_logic_vector(3 downto 0);
signal ram_data:ram_table;

begin
process(CE, RD, WR, Address, In_data)
begin
if CE=`0` then
if (RD=`0` and WR=`1`) then
Out_data <=ram_data(conv_integer(Address));

elsif (RD=`1` and WR=`0`) then
ram_data(conv_integer(Address))<=In_data;
Out_data<=(others=>`Z`);
else
Out_data<=(others=>`Z`);
end if;
else Out_data<=(others=>`Z`);
end if;
end process;
end behave;

참고 자료

없음
*종*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 SoC 보고서 - 4.8051 30페이지
    이것에 적절한 입력을 testbench설계해주면 된다. ... 코드 2-B-2 RAM 신호 및 컴포넌트 RAMVHDL 코드임. ... 및 엔티티 쿼터스 툴로 설계RAM의 엔티티임.
  • 워드파일 디지털공학실험 09. ROM,RAM 결과 12페이지
    < ROM, RAM 순차회로 결과보고서 > VHDL 코드 [ ROM VHDL ... RAM에서의 설계는 클럭이 상승엣지에서 엑티브되며, write가 1일 때 ... 그 후에 TestBench에서는 시뮬레이션 파형을 잘 관찰할 수 있도록 100ns
  • 한글파일 VHDL를 이용한 Memory 설계 9페이지
    공간을 선언 하는 부분은 수업을 듣고 사용해야겠다는 개념은 알겠으나 정확히 VHDL에서 ... STD_LOGIC_VECTOR (3 downto 0)); end raminfr; ( VHDL ... 또한n을 위해 New Source에서 Testbench 생성 - clk 값을
  • 한글파일 논리회로 설계실험 memory 설계 7페이지
    Access Memory)를 VHDL로 구현 한다. 3)VHDL 문법 중 ... 데이터를 주소 값에 쓰게 된다. clock 주기는 10 ns 로 설정하며 testbench ... Memory 설계 1.
  • 한글파일 논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계 20페이지
    금액을 testbench를 통해 보여주기 때문에 RAM에 미리 잔액을 입력하고 ... , testbench에서 거래 동작 시 해당하는 잔액이 있으면, 화면에 출력하게끔 ... Purpose 학기 수업 중 배웠던 VHDL의 이론을 바탕으로 프로그램을
최근 본 자료더보기
탑툰 이벤트
[vhdl] RAM설계, testbench
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업