• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[vhdl] 비동기카운터, asynchronos counter, testbench

*종*
최초 등록일
2008.06.14
최종 저작일
2008.05
7페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

[vhdl] 비동기카운터, asynchronos counter, testbench

목차

(비동기 카운터 설계)
4비트 비동기 카운터
TB파일
그래프 파형
bit-cnt파일(연결)
bit-cnt1파일(연결,마지막Cnt)
TB파일
그래프 파형

본문내용

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity counter is
port(clk,rst:in std_logic;
q:buffer std_logic_vector(3 downto 0);
clk_out:buffer std_logic
);
end counter;

architecture behave of counter is
signal temp_clk_cnt:std_logic_vector(2 downto 0):="000";

begin
process(rst,clk)
begin
if (rst=`0`) then
q<="0000";
elsif (clk`event and clk=`1`) then
q<=q+1;
end if;

end process;

process(clk,rst)
begin
if (rst=`0`) then
clk_out<=`0`;
temp_clk_cnt<="000";
elsif (clk`event and clk=`1`) then
if temp_clk_cnt="100" then
temp_clk_cnt<="000";
clk_out<= not clk_out;
else
temp_clk_cnt<=temp_clk_cnt+1;
end if;
end if;
end process;
end behave;

참고 자료

없음
*종*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
[vhdl] 비동기카운터, asynchronos counter, testbench
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업