• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 구현한 자동판매기 소스

*성*
최초 등록일
2008.01.15
최종 저작일
2007.11
파일확장자 압축파일
가격 5,000원 할인쿠폰받기
다운로드
장바구니

소개글

이 자동판매기 소스는 커피, 코카콜라, 오렌지 쥬스 그리고 녹차를 판매하는 자동판매기 설계하였습니다. 10원, 50원, 100원, 500원 짜리 동전 입력이 버튼 스위치를 통해 입력이 가능하도록 하였고 총 4가지의 제품을 선택할 수 있도록 하였습니다. 버튼 스위치를 통해 입력된 금액은 7-segment를 통해 표시가 되고 잔액 또한 표시하도록 하였습니다. 선택할 수 있는 제품은 입력한 동전값에 따라 확인할 수 있도록 LED의 점등을 달리하여 나타내었습니다. 그리고 선택되어진 제품은 LCD를 통해 출력이 되는 것과 동시에 알림음을 발생 시켜 매뉴가 선택되었음을 알 수 있도록 동작 시켰습니다. 마지막으로 반환 버튼은 리셋의 기능을 이용해 나타내었습니다.
핀설정은 기본으로 다되어있습니다.

컴파일 실행환경

ALTERA MAX+PLUS Ⅱ

압축파일 내 파일목록

vending1/vending/
vending1/vending/beep(1).cnf
vending1/vending/beep(2).cnf
vending1/vending/beep(3).cnf
vending1/vending/beep(4).cnf
vending1/vending/beep(5).cnf
vending1/vending/beep(6).cnf
vending1/vending/beep(7).cnf
vending1/vending/beep.acf
vending1/vending/beep.cnf
vending1/vending/beep.fit
vending1/vending/beep.hex
vending1/vending/beep.hif
vending1/vending/beep.mmf
vending1/vending/beep.ndb
vending1/vending/beep.pin
vending1/vending/beep.pof
vending1/vending/beep.rpt
vending1/vending/beep.snf
vending1/vending/beep.sof
vending1/vending/BEEP.sym
vending1/vending/beep.ttf
vending1/vending/beep.vhd
vending1/vending/dotmatrix(1).cnf
vending1/vending/dotmatrix(10).cnf
vending1/vending/dotmatrix(11).cnf
vending1/vending/dotmatrix(12).cnf
vending1/vending/dotmatrix(13).cnf
vending1/vending/dotmatrix(2).cnf
vending1/vending/dotmatrix(3).cnf
vending1/vending/dotmatrix(4).cnf
vending1/vending/dotmatrix(5).cnf
vending1/vending/dotmatrix(6).cnf
vending1/vending/dotmatrix(7).cnf
vending1/vending/dotmatrix(8).cnf
vending1/vending/dotmatrix(9).cnf
vending1/vending/dotmatrix.acf
vending1/vending/dotmatrix.cnf
vending1/vending/dotmatrix.hif
vending1/vending/dotmatrix.mmf
vending1/vending/dotmatrix.ndb
vending1/vending/DOTMATRIX.sym
vending1/vending/dotmatrix.vhd
vending1/vending/LIB.DLS
vending1/vending/U0405506.DLS
vending1/vending/U0553221.DLS
vending1/vending/U5387948.DLS
vending1/vending/U5555824.DLS
vending1/vending/U5888036.DLS
vending1/vending/U6273855.DLS
vending1/vending/U7369087.DLS
vending1/vending/U9084929.DLS
vending1/vending/U9261103.DLS
vending1/vending/vending(15).cnf
vending1/vending/vending(16).cnf
vending1/vending/vending(17).cnf
vending1/vending/vending(21).cnf
vending1/vending/vending(22).cnf
vending1/vending/vending(23).cnf
vending1/vending/vending(24).cnf
vending1/vending/vending(25).cnf
vending1/vending/vending(26).cnf
vending1/vending/vending(34).cnf
vending1/vending/vending(35).cnf
vending1/vending/vending(36).cnf
vending1/vending/vending(37).cnf
vending1/vending/vending(38).cnf
vending1/vending/vending(39).cnf
vending1/vending/vending(40).cnf
vending1/vending/vending(41).cnf
vending1/vending/vending(42).cnf
vending1/vending/vending(6).cnf
vending1/vending/vending.acf
vending1/vending/vending.cnf
vending1/vending/vending.fit
vending1/vending/vending.hex
vending1/vending/vending.hif
vending1/vending/vending.mmf
vending1/vending/vending.ndb
vending1/vending/vending.pin
vending1/vending/vending.pof
vending1/vending/vending.rpt
vending1/vending/vending.snf
vending1/vending/vending.sof
vending1/vending/VENDING.sym
vending1/vending/vending.ttf
vending1/vending/vending.vhd

참고 자료

없음

자료후기(1)

*성*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
VHDL을 구현한 자동판매기 소스
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업