• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털 시계 회로 쿼터스 파일 포함(시계, 스탑워치, 알람, 윤달 기능 달력)

*동*
개인인증판매자스토어
최초 등록일
2008.01.04
최종 저작일
2007.12
13페이지/파일확장자 압축파일
가격 4,000원 할인쿠폰받기
다운로드
장바구니

소개글

자세한 문의사항은 문의로 글을 남겨주시면 빠른답변 드리겠습니다.(간단한변경방법등 안내 가능합니다.)

광운대 디지털 논리회로 설계 수업 프로젝트 제출한 것입니다.

프로젝트 300점 만점에 가산점 포함 330점 받은 프로젝트입니다.

책 참고한 것이 아니고 직접 하나하나 설계한 것입니다.

쿼터스 파일과 제안서 결과레포트 모두 포함하여 압축한 파일입니다.

쿼터스 파일은 각 모드별로 분리하여 모듈화 하여 구성하였습니다.

시계는 8MHz의 클럭을 받아들여 각 분주기를 거쳐 표현하였고,

스탑워치는 1/100초의 신호를 받아들여 59분 59초 99까지 표현 가능하며

달력은 윤년 계산 포함하여 실제 달력과 같이 카운팅 되며 출력 됩니다.

알람은 1분간 울리게 설계 하였으며 디스플레이는 6개의 7세그먼트에서 출력하고

스위치는 SW1, SW2, SET 이렇게 3개의 버튼으로 조작 가능합니다.

목차

없음

본문내용

■ 디지털 시계의 기능
● 입력
■ CLK : 외부에서 제공하는 시스템 클럭으로 8MHz의 신호가 입력된다
■ SW1 : 시계, 달력, 스탑워치, 알람의 모든 변경 기능
■ SW2 : 각각 기능에서 설정 스위치, 단 스탑워치에서는 스탑워치의 start/stop 기능
■ SET : 각 모드별로 값을 증가시키고 스탑워치에서는 값을 초기화
● 출력
■ SEG1, SEG2, SEG3, SEG4, SEG5, SEG6 : 각각 세그먼트 디코더에 전달되는 값들
(파형 분석을 위해 디코더에 직접 연결하지 않음)
■ ALARM : 알람 출력을 위한 LCD 신호
■ MOD : 4개의 LED로 이루어진 모드의 선택사항 출력
■ Am/Pm : 시계 또는 알람에서 오후일 때 LED에 점등

● 입력 신호에 따른 동작
SW1SW2SET시간 모드시계 모드∙초 설정모드초 증가분 설정모드분 증가시 설정모드시 증가달력 모드달력 모드∙일 설정모드일 증가월 설정모드월 증가년 설정모드년 증가타이머 모드START/STOPRESET알람 모드분 설정 모드분 증가시 설정모드시 증가오전 오후 설정모드오전/오후 전환알람시간 출력모드∙
● 디지털 시계의 기능 및 설계 사양
구 분사 양비 고입력 주파수8MHz∙기능시간시, 분, 초 까지 나타내며 시, 분, 초 모두 수정 가능달력2월은 윤년 계산 하여 출력
년, 월, 일 모두 수정 가능타이머1/100초로 증가하며 분까지는 측정 가능알람시간을 설정하면 설정 시간에 출력입력4bitCLK, SW1, SW2, SET출력30bit4bit SEG *6, 1bit 알람 출력, 1bit 오전 오후 출력, 4개의 LED(모드)
■ 각 모드별 동작 설명
● 시간 모드
■ 디지털 시계의 초기모드
■ 초기 상태 00시 00분 00초
■ 시스템 클럭에 의한 자동 시간증가
■ SW2를 한번 누르면 초 설정 상태, 이때 SET을 누르면 초 증가
■ SW2를 또 누르면 분 설정 상태, 이때 SET을 누르면 분 증가
■ SW2를 또 한번 누르면 시 설정 상태, 이때 SET을 누르면 시 증가
■ SW2를 다시 누르면 시간 모드의 일반 상태로 시간 증가
■ 각 증가 모드에서 SET을 1초 이내로 짧게 누르면 값이 하나씩 증가
■ 각 증가 모드에서 SET을 길게 누르면 1초에 1씩 증가
■ 일반 모드 이외의 모드에서 자동증가 차단
● 달력 모드
■ 초기상태 00년 01월 01일
■ 시간 모드에서 오후 11시 59분 59초일 때 초가 증가하면 자동으로 증가
■ SW2를 한번 누르면 일 설정 상태, 이때 SET을 누르면 일 증가
■ SW2를 또 한번 누르면 월 설정 상태, 이때 SET을 누르면 월 증가
■ SW2를 또 한번 누르면 년 설정 상태, 이때 SET을 누르면 년 증가
■ SW2를 다시 한번 누르면 달력 모드의 일반 상태
■ 각 증가 모드에서 SET을 짧게 누르면 값이 하나씩 증가
■ 각 증가 모드에서 SET을 길게 누르면 값이 주기적으로 증가
● 타이머 모드
■ 시간을 측정
■ 초기상태 00분 00초 00
■ SW2을 누르면 start
■ SW2을 또 한번 누르면 stop
■ SET을 누르면 값 초기화
■ start상태에서 시간 증가
■ stop 상태에서 멈춤
■ 타이머 모드에서 시간을 측정하다 다른 모드로 변환시 측정하던 시간 유지
■ 측정시간은 최고 59분 59초 99
● 알람 모드
■ 초기상태 분 설정 모드, 이때 SET을 누르면 분 증가
■SW2를 한번 누르면 시 설정 모드, 이때 SET을 누르면 시 증가
■ SW2를 또 한번 누르면 오전 오후 설정 모드, 이때 SET을 누르면 오전 오후 전환
■ SW2를 다시 한번 누르면 알람 일반 모드
■ 설정된 시간은 시간 블록의 AM/PM 시와 분을 비교하여 일치하면 알람 신호를 발생 1분간 유지

참고 자료

없음

압축파일 내 파일목록

100count.bdf
100count.bsf
10count.bdf
10count.bsf
16.bdf
16.bsf
4bit.bdf
4bit.bsf
4IN1.bdf
4IN1.bsf
alarm.bdf
alarm.bsf
calender.bdf
calender.bsf
clock.bdf
clock.bsf
clock_last.asm.rpt
clock_last.bdf
clock_last.done
clock_last.fit.eqn
clock_last.fit.rpt
clock_last.fit.summary
clock_last.flow.rpt
clock_last.map.eqn
clock_last.map.rpt
clock_last.map.summary
clock_last.pin
clock_last.pof
clock_last.qpf
clock_last.qsf
clock_last.qws
clock_last.sim.rpt
clock_last.sof
clock_last.tan.rpt
clock_last.tan.summary
clock_last.vwf
cmp_state.ini
cnt.bdf
com.bdf
com.bsf
date.bdf
date.bsf
month.bdf
month.bsf
sel.bdf
sel.bsf
stopwatch.bdf
stopwatch.bsf
year.bdf
year.bsf
디지털 시계 제안서.hwp
디지털 시계.hwp
100count/100count.asm.rpt
100count/100count.bdf
100count/100count.bsf
100count/100count.done
100count/100count.fit.eqn
100count/100count.fit.rpt
100count/100count.fit.summary
100count/100count.flow.rpt
100count/100count.map.eqn
100count/100count.map.rpt
100count/100count.map.summary
100count/100count.pin
100count/100count.pof
100count/100count.qpf
100count/100count.qsf
100count/100count.qws
100count/100count.sim.rpt
100count/100count.sof
100count/100count.tan.rpt
100count/100count.tan.summary
100count/100count.vwf
100count/cmp_state.ini
100count/db/100count.(0).cnf.cdb
100count/db/100count.(0).cnf.hdb
100count/db/100count.(1).cnf.cdb
100count/db/100count.(1).cnf.hdb
100count/db/100count.asm.qmsg
100count/db/100count.cmp.cdb
100count/db/100count.cmp.ddb
100count/db/100count.cmp.hdb
100count/db/100count.cmp.rdb
100count/db/100count.cmp.tdb
100count/db/100count.cmp0.ddb
100count/db/100count.db_info
100count/db/100count.eco.cdb
100count/db/100count.eds_overflow
100count/db/100count.fit.qmsg
100count/db/100count.fnsim.hdb
100count/db/100count.hier_info
100count/db/100count.hif
100count/db/100count.icc
100count/db/100count.map.cdb
100count/db/100count.map.hdb
100count/db/100count.map.qmsg
100count/db/100count.pre_map.cdb
100count/db/100count.pre_map.hdb
100count/db/100count.psp
100count/db/100count.rtlv.hdb
100count/db/100count.rtlv_sg.cdb
100count/db/100count.rtlv_sg_swap.cdb
100count/db/100count.sgdiff.cdb
100count/db/100count.sgdiff.hdb
100count/db/100count.signalprobe.cdb
100count/db/100count.sim.hdb
100count/db/100count.sim.qmsg
100count/db/100count.sim.rdb
100count/db/100count.sim.vwf
100count/db/100count.sld_design_entry.sci
100count/db/100count.sld_design_entry_dsc.sci
100count/db/100count.syn_hier_info
100count/db/100count.tan.qmsg
100count/db/100count_cmp.qrpt
100count/db/100count_sim.qrpt
4IN1/4IN1.asm.rpt
4IN1/4IN1.bdf
4IN1/4IN1.bsf
4IN1/4IN1.done
4IN1/4IN1.fit.eqn
4IN1/4IN1.fit.rpt
4IN1/4IN1.fit.summary
4IN1/4IN1.flow.rpt
4IN1/4IN1.map.eqn
4IN1/4IN1.map.rpt
4IN1/4IN1.map.summary
4IN1/4IN1.pin
4IN1/4IN1.pof
4IN1/4IN1.qpf
4IN1/4IN1.qsf
4IN1/4IN1.qws
4IN1/4IN1.sof
4IN1/4IN1.tan.rpt
4IN1/4IN1.tan.summary
4IN1/cmp_state.ini
4IN1/db/4IN1.(0).cnf.cdb
4IN1/db/4IN1.(0).cnf.hdb
4IN1/db/4IN1.asm.qmsg
4IN1/db/4IN1.cmp.cdb
4IN1/db/4IN1.cmp.ddb
4IN1/db/4IN1.cmp.hdb
4IN1/db/4IN1.cmp.rdb
4IN1/db/4IN1.cmp.tdb
4IN1/db/4IN1.cmp0.ddb
4IN1/db/4IN1.db_info
4IN1/db/4IN1.eco.cdb
4IN1/db/4IN1.fit.qmsg
4IN1/db/4IN1.hier_info
4IN1/db/4IN1.hif
4IN1/db/4IN1.icc
4IN1/db/4IN1.map.cdb
4IN1/db/4IN1.map.hdb
4IN1/db/4IN1.map.qmsg
4IN1/db/4IN1.pre_map.cdb
4IN1/db/4IN1.pre_map.hdb
4IN1/db/4IN1.psp
4IN1/db/4IN1.rtlv.hdb
4IN1/db/4IN1.rtlv_sg.cdb
4IN1/db/4IN1.rtlv_sg_swap.cdb
4IN1/db/4IN1.sgdiff.cdb
4IN1/db/4IN1.sgdiff.hdb
4IN1/db/4IN1.signalprobe.cdb
4IN1/db/4IN1.sld_design_entry.sci
4IN1/db/4IN1.sld_design_entry_dsc.sci
4IN1/db/4IN1.syn_hier_info
4IN1/db/4IN1.tan.qmsg
alarm/4bit.bdf
alarm/4bit.bsf
alarm/alarm.asm.rpt
alarm/alarm.bdf
alarm/alarm.bsf
alarm/alarm.done
alarm/alarm.fit.eqn
alarm/alarm.fit.rpt
alarm/alarm.fit.summary
alarm/alarm.flow.rpt
alarm/alarm.map.eqn
alarm/alarm.map.rpt
alarm/alarm.map.summary
alarm/alarm.pin
alarm/alarm.pof
alarm/alarm.qpf
alarm/alarm.qsf
alarm/alarm.qws
alarm/alarm.sim.rpt
alarm/alarm.sof
alarm/alarm.tan.rpt
alarm/alarm.tan.summary
alarm/alarm.vwf
alarm/cmp_state.ini
alarm/com.bdf
alarm/com.bsf
alarm/com/com.asm.rpt
alarm/com/com.bdf
alarm/com/com.bsf
alarm/com/com.done
alarm/com/com.fit.eqn
alarm/com/com.fit.rpt
alarm/com/com.fit.summary
alarm/com/com.flow.rpt
alarm/com/com.map.eqn
alarm/com/com.map.rpt
alarm/com/com.map.summary
alarm/com/com.pin
alarm/com/com.pof
alarm/com/com.qpf
alarm/com/com.qsf
alarm/com/com.sof
alarm/com/com.tan.rpt
alarm/com/com.tan.summary
alarm/com/db/com.(0).cnf.cdb
alarm/com/db/com.(0).cnf.hdb
alarm/com/db/com.asm.qmsg
alarm/com/db/com.cmp.cdb
alarm/com/db/com.cmp.ddb
alarm/com/db/com.cmp.hdb
alarm/com/db/com.cmp.rdb
alarm/com/db/com.cmp.tdb
alarm/com/db/com.cmp0.ddb
alarm/com/db/com.db_info
alarm/com/db/com.eco.cdb
alarm/com/db/com.fit.qmsg
alarm/com/db/com.hier_info
alarm/com/db/com.hif
alarm/com/db/com.icc
alarm/com/db/com.map.cdb
alarm/com/db/com.map.hdb
alarm/com/db/com.map.qmsg
alarm/com/db/com.pre_map.cdb
alarm/com/db/com.pre_map.hdb
alarm/com/db/com.psp
alarm/com/db/com.rtlv.hdb
alarm/com/db/com.rtlv_sg.cdb
alarm/com/db/com.rtlv_sg_swap.cdb
alarm/com/db/com.sgdiff.cdb
alarm/com/db/com.sgdiff.hdb
alarm/com/db/com.signalprobe.cdb
alarm/com/db/com.sld_design_entry.sci
alarm/com/db/com.sld_design_entry_dsc.sci
alarm/com/db/com.syn_hier_info
alarm/com/db/com.tan.qmsg
alarm/db/alarm.(0).cnf.cdb
alarm/db/alarm.(0).cnf.hdb
alarm/db/alarm.(1).cnf.cdb
alarm/db/alarm.(1).cnf.hdb
alarm/db/alarm.(2).cnf.cdb
alarm/db/alarm.(2).cnf.hdb
alarm/db/alarm.(3).cnf.cdb
alarm/db/alarm.(3).cnf.hdb
alarm/db/alarm.(4).cnf.cdb
alarm/db/alarm.(4).cnf.hdb
alarm/db/alarm.asm.qmsg
alarm/db/alarm.cmp.cdb
alarm/db/alarm.cmp.ddb
alarm/db/alarm.cmp.hdb
alarm/db/alarm.cmp.rdb
alarm/db/alarm.cmp.tdb
alarm/db/alarm.cmp0.ddb
alarm/db/alarm.db_info
alarm/db/alarm.eco.cdb
alarm/db/alarm.eds_overflow
alarm/db/alarm.fit.qmsg
alarm/db/alarm.fnsim.cdb
alarm/db/alarm.fnsim.hdb
alarm/db/alarm.hier_info
alarm/db/alarm.hif
alarm/db/alarm.icc
alarm/db/alarm.map.cdb
alarm/db/alarm.map.hdb
alarm/db/alarm.map.qmsg
alarm/db/alarm.pre_map.cdb
alarm/db/alarm.pre_map.hdb
alarm/db/alarm.psp
alarm/db/alarm.rtlv.hdb
alarm/db/alarm.rtlv_sg.cdb
alarm/db/alarm.rtlv_sg_swap.cdb
alarm/db/alarm.sgdiff.cdb
alarm/db/alarm.sgdiff.hdb
alarm/db/alarm.signalprobe.cdb
alarm/db/alarm.sim.hdb
alarm/db/alarm.sim.qmsg
alarm/db/alarm.sim.rdb
alarm/db/alarm.sim.vwf
alarm/db/alarm.sld_design_entry.sci
alarm/db/alarm.sld_design_entry_dsc.sci
alarm/db/alarm.syn_hier_info
alarm/db/alarm.tan.qmsg
alarm/db/alarm_cmp.qrpt
alarm/db/alarm_sim.qrpt
calender/10count.bdf
calender/10count.bsf
calender/16.bdf
calender/16.bsf
calender/4bit.bdf
calender/4bit.bsf
calender/calender.asm.rpt
calender/calender.bdf
calender/calender.bsf
calender/calender.done
calender/calender.fit.eqn
calender/calender.fit.rpt
calender/calender.fit.summary
calender/calender.fld
calender/calender.flow.rpt
calender/calender.map.eqn
calender/calender.map.rpt
calender/calender.map.summary
calender/calender.pin
calender/calender.pof
calender/calender.qpf
calender/calender.qsf
calender/calender.qws
calender/calender.sim.rpt
calender/calender.sof
calender/calender.tan.rpt
calender/calender.tan.summary
calender/calender.vwf
calender/cmp_state.ini
calender/cnt.bdf
calender/date.bdf
calender/date.bsf
calender/month.bdf
calender/month.bsf
calender/year.bdf
calender/year.bsf
calender/date/10count.bdf
calender/date/10count.bsf
calender/date/cmp_state.ini
calender/date/cnt.bdf
calender/date/date.asm.rpt
calender/date/date.bdf
calender/date/date.bsf
calender/date/date.done
calender/date/date.fit.eqn
calender/date/date.fit.rpt
calender/date/date.fit.summary
calender/date/date.flow.rpt
calender/date/date.map.eqn
calender/date/date.map.rpt
calender/date/date.map.summary
calender/date/date.pin
calender/date/date.pof
calender/date/date.qpf
calender/date/date.qsf
calender/date/date.qws
calender/date/date.sim.rpt
calender/date/date.sof
calender/date/date.tan.rpt
calender/date/date.tan.summary
calender/date/date.vwf
calender/date/10count/10count.asm.rpt
calender/date/10count/10count.bdf
calender/date/10count/10count.bsf
calender/date/10count/10count.done
calender/date/10count/10count.fit.eqn
calender/date/10count/10count.fit.rpt
calender/date/10count/10count.fit.summary
calender/date/10count/10count.flow.rpt
calender/date/10count/10count.map.eqn
calender/date/10count/10count.map.rpt
calender/date/10count/10count.map.summary
calender/date/10count/10count.pin
calender/date/10count/10count.pof
calender/date/10count/10count.qpf
calender/date/10count/10count.qsf
calender/date/10count/10count.qws
calender/date/10count/10count.sim.rpt
calender/date/10count/10count.sof
calender/date/10count/10count.tan.rpt
calender/date/10count/10count.tan.summary
calender/date/10count/cmp_state.ini
calender/date/10count/db/10count.(0).cnf.cdb
calender/date/10count/db/10count.(0).cnf.hdb
calender/date/10count/db/10count.asm.qmsg
calender/date/10count/db/10count.cmp.cdb
calender/date/10count/db/10count.cmp.ddb
calender/date/10count/db/10count.cmp.hdb
calender/date/10count/db/10count.cmp.rdb
calender/date/10count/db/10count.cmp.tdb
calender/date/10count/db/10count.cmp0.ddb
calender/date/10count/db/10count.db_info
calender/date/10count/db/10count.eco.cdb
calender/date/10count/db/10count.fit.qmsg
calender/date/10count/db/10count.fnsim.cdb
calender/date/10count/db/10count.fnsim.hdb
calender/date/10count/db/10count.hier_info
calender/date/10count/db/10count.hif
calender/date/10count/db/10count.icc
calender/date/10count/db/10count.map.cdb
calender/date/10count/db/10count.map.hdb
calender/date/10count/db/10count.map.qmsg
calender/date/10count/db/10count.pre_map.cdb
calender/date/10count/db/10count.pre_map.hdb
calender/date/10count/db/10count.psp
calender/date/10count/db/10count.rtlv.hdb
calender/date/10count/db/10count.rtlv_sg.cdb
calender/date/10count/db/10count.rtlv_sg_swap.cdb
calender/date/10count/db/10count.sgdiff.cdb
calender/date/10count/db/10count.sgdiff.hdb
calender/date/10count/db/10count.signalprobe.cdb
calender/date/10count/db/10count.sim.hdb
calender/date/10count/db/10count.sim.qmsg
calender/date/10count/db/10count.sim.rdb
calender/date/10count/db/10count.sld_design_entry.sci
calender/date/10count/db/10count.sld_design_entry_dsc.sci
calender/date/10count/db/10count.syn_hier_info
calender/date/10count/db/10count.tan.qmsg
calender/date/10count/db/10count_cmp.qrpt
calender/date/29/29.asm.rpt
calender/date/29/29.bdf
calender/date/29/29.done
calender/date/29/29.fit.eqn
calender/date/29/29.fit.rpt
calender/date/29/29.fit.summary
calender/date/29/29.flow.rpt
calender/date/29/29.map.eqn
calender/date/29/29.map.rpt
calender/date/29/29.map.summary
calender/date/29/29.pin
calender/date/29/29.pof
calender/date/29/29.qpf
calender/date/29/29.qsf
calender/date/29/29.sof
calender/date/29/29.tan.rpt
calender/date/29/29.tan.summary
calender/date/29/db/29.(0).cnf.cdb
calender/date/29/db/29.(0).cnf.hdb
calender/date/29/db/29.asm.qmsg
calender/date/29/db/29.cmp.cdb
calender/date/29/db/29.cmp.ddb
calender/date/29/db/29.cmp.hdb
calender/date/29/db/29.cmp.rdb
calender/date/29/db/29.cmp.tdb
calender/date/29/db/29.cmp0.ddb
calender/date/29/db/29.db_info
calender/date/29/db/29.eco.cdb
calender/date/29/db/29.fit.qmsg
calender/date/29/db/29.hier_info
calender/date/29/db/29.hif
calender/date/29/db/29.icc
calender/date/29/db/29.map.cdb
calender/date/29/db/29.map.hdb
calender/date/29/db/29.map.qmsg
calender/date/29/db/29.pre_map.cdb
calender/date/29/db/29.pre_map.hdb
calender/date/29/db/29.psp
calender/date/29/db/29.rtlv.hdb
calender/date/29/db/29.rtlv_sg.cdb
calender/date/29/db/29.rtlv_sg_swap.cdb
calender/date/29/db/29.sgdiff.cdb
calender/date/29/db/29.sgdiff.hdb
calender/date/29/db/29.signalprobe.cdb
calender/date/29/db/29.sld_design_entry.sci
calender/date/29/db/29.sld_design_entry_dsc.sci
calender/date/29/db/29.syn_hier_info
calender/date/29/db/29.tan.qmsg
calender/date/db/date.(0).cnf.cdb
calender/date/db/date.(0).cnf.hdb
calender/date/db/date.(1).cnf.cdb
calender/date/db/date.(1).cnf.hdb
calender/date/db/date.(2).cnf.cdb
calender/date/db/date.(2).cnf.hdb
calender/date/db/date.(3).cnf.cdb
calender/date/db/date.(3).cnf.hdb
calender/date/db/date.asm.qmsg
calender/date/db/date.cmp.cdb
calender/date/db/date.cmp.ddb
calender/date/db/date.cmp.hdb
calender/date/db/date.cmp.rdb
calender/date/db/date.cmp.tdb
calender/date/db/date.cmp0.ddb
calender/date/db/date.db_info
calender/date/db/date.eco.cdb
calender/date/db/date.eds_overflow
calender/date/db/date.fit.qmsg
calender/date/db/date.fnsim.cdb
calender/date/db/date.fnsim.hdb
calender/date/db/date.hier_info
calender/date/db/date.hif
calender/date/db/date.icc
calender/date/db/date.map.cdb
calender/date/db/date.map.hdb
calender/date/db/date.map.qmsg
calender/date/db/date.pre_map.cdb
calender/date/db/date.pre_map.hdb
calender/date/db/date.psp
calender/date/db/date.rtlv.hdb
calender/date/db/date.rtlv_sg.cdb
calender/date/db/date.rtlv_sg_swap.cdb
calender/date/db/date.sgdiff.cdb
calender/date/db/date.sgdiff.hdb
calender/date/db/date.signalprobe.cdb
calender/date/db/date.sim.hdb
calender/date/db/date.sim.qmsg
calender/date/db/date.sim.rdb
calender/date/db/date.sim.vwf
calender/date/db/date.sld_design_entry.sci
calender/date/db/date.sld_design_entry_dsc.sci
calender/date/db/date.syn_hier_info
calender/date/db/date.tan.qmsg
calender/date/db/date_cmp.qrpt
calender/date/db/date_sim.qrpt
calender/db/calender.(0).cnf.cdb
calender/db/calender.(0).cnf.hdb
calender/db/calender.(1).cnf.cdb
calender/db/calender.(1).cnf.hdb
calender/db/calender.(2).cnf.cdb
calender/db/calender.(2).cnf.hdb
calender/db/calender.(3).cnf.cdb
calender/db/calender.(3).cnf.hdb
calender/db/calender.(4).cnf.cdb
calender/db/calender.(4).cnf.hdb
calender/db/calender.(5).cnf.cdb
calender/db/calender.(5).cnf.hdb
calender/db/calender.(6).cnf.cdb
calender/db/calender.(6).cnf.hdb
calender/db/calender.(7).cnf.cdb
calender/db/calender.(7).cnf.hdb
calender/db/calender.(8).cnf.cdb
calender/db/calender.(8).cnf.hdb
calender/db/calender.(9).cnf.cdb
calender/db/calender.(9).cnf.hdb
calender/db/calender.asm.qmsg
calender/db/calender.cmp.cdb
calender/db/calender.cmp.ddb
calender/db/calender.cmp.hdb
calender/db/calender.cmp.rdb
calender/db/calender.cmp.tdb
calender/db/calender.cmp0.ddb
calender/db/calender.db_info
calender/db/calender.eco.cdb
calender/db/calender.eds_overflow
calender/db/calender.fit.qmsg
calender/db/calender.fnsim.cdb
calender/db/calender.fnsim.hdb
calender/db/calender.hier_info
calender/db/calender.hif
calender/db/calender.icc
calender/db/calender.map.cdb
calender/db/calender.map.hdb
calender/db/calender.map.qmsg
calender/db/calender.pre_map.cdb
calender/db/calender.pre_map.hdb
calender/db/calender.psp
calender/db/calender.rtlv.hdb
calender/db/calender.rtlv_sg.cdb
calender/db/calender.rtlv_sg_swap.cdb
calender/db/calender.sgdiff.cdb
calender/db/calender.sgdiff.hdb
calender/db/calender.signalprobe.cdb
calender/db/calender.sim.hdb
calender/db/calender.sim.qmsg
calender/db/calender.sim.rdb
calender/db/calender.sim.vwf
calender/db/calender.sld_design_entry.sci
calender/db/calender.sld_design_entry_dsc.sci
calender/db/calender.syn_hier_info
calender/db/calender.tan.qmsg
calender/db/calender_cmp.qrpt
calender/db/calender_sim.qrpt
calender/month/16.bdf
calender/month/16.bsf
calender/month/cmp_state.ini
calender/month/month.asm.rpt
calender/month/month.bdf
calender/month/month.bsf
calender/month/month.done
calender/month/month.fit.eqn
calender/month/month.fit.rpt
calender/month/month.fit.summary
calender/month/month.flow.rpt
calender/month/month.map.eqn
calender/month/month.map.rpt
calender/month/month.map.summary
calender/month/month.pin
calender/month/month.pof
calender/month/month.qpf
calender/month/month.qsf
calender/month/month.qws
calender/month/month.sim.rpt
calender/month/month.sof
calender/month/month.tan.rpt
calender/month/month.tan.summary
calender/month/month.vwf
calender/month/16/16.asm.rpt
calender/month/16/16.bdf
calender/month/16/16.bsf
calender/month/16/16.done
calender/month/16/16.fit.eqn
calender/month/16/16.fit.rpt
calender/month/16/16.fit.summary
calender/month/16/16.flow.rpt
calender/month/16/16.map.eqn
calender/month/16/16.map.rpt
calender/month/16/16.map.summary
calender/month/16/16.pin
calender/month/16/16.pof
calender/month/16/16.qpf
calender/month/16/16.qsf
calender/month/16/16.qws
calender/month/16/16.sim.rpt
calender/month/16/16.sof
calender/month/16/16.tan.rpt
calender/month/16/16.tan.summary
calender/month/16/cmp_state.ini
calender/month/16/db/16.(0).cnf.cdb
calender/month/16/db/16.(0).cnf.hdb
calender/month/16/db/16.asm.qmsg
calender/month/16/db/16.cmp.cdb
calender/month/16/db/16.cmp.ddb
calender/month/16/db/16.cmp.hdb
calender/month/16/db/16.cmp.rdb
calender/month/16/db/16.cmp.tdb
calender/month/16/db/16.cmp0.ddb
calender/month/16/db/16.db_info
calender/month/16/db/16.eco.cdb
calender/month/16/db/16.fit.qmsg
calender/month/16/db/16.fnsim.cdb
calender/month/16/db/16.fnsim.hdb
calender/month/16/db/16.hier_info
calender/month/16/db/16.hif
calender/month/16/db/16.icc
calender/month/16/db/16.map.cdb
calender/month/16/db/16.map.hdb
calender/month/16/db/16.map.qmsg
calender/month/16/db/16.pre_map.cdb
calender/month/16/db/16.pre_map.hdb
calender/month/16/db/16.psp
calender/month/16/db/16.rtlv.hdb
calender/month/16/db/16.rtlv_sg.cdb
calender/month/16/db/16.rtlv_sg_swap.cdb
calender/month/16/db/16.sgdiff.cdb
calender/month/16/db/16.sgdiff.hdb
calender/month/16/db/16.signalprobe.cdb
calender/month/16/db/16.sim.hdb
calender/month/16/db/16.sim.qmsg
calender/month/16/db/16.sim.rdb
calender/month/16/db/16.sld_design_entry.sci
calender/month/16/db/16.sld_design_entry_dsc.sci
calender/month/16/db/16.syn_hier_info
calender/month/16/db/16.tan.qmsg
calender/month/16/db/16_cmp.qrpt
calender/month/db/month.(0).cnf.cdb
calender/month/db/month.(0).cnf.hdb
calender/month/db/month.(1).cnf.cdb
calender/month/db/month.(1).cnf.hdb
calender/month/db/month.(2).cnf.cdb
calender/month/db/month.(2).cnf.hdb
calender/month/db/month.asm.qmsg
calender/month/db/month.cmp.cdb
calender/month/db/month.cmp.ddb
calender/month/db/month.cmp.hdb
calender/month/db/month.cmp.rdb
calender/month/db/month.cmp.tdb
calender/month/db/month.cmp0.ddb
calender/month/db/month.db_info
calender/month/db/month.eco.cdb
calender/month/db/month.eds_overflow
calender/month/db/month.fit.qmsg
calender/month/db/month.fnsim.cdb
calender/month/db/month.fnsim.hdb
calender/month/db/month.hier_info
calender/month/db/month.hif
calender/month/db/month.icc
calender/month/db/month.map.cdb
calender/month/db/month.map.hdb
calender/month/db/month.map.qmsg
calender/month/db/month.pre_map.cdb
calender/month/db/month.pre_map.hdb
calender/month/db/month.psp
calender/month/db/month.rtlv.hdb
calender/month/db/month.rtlv_sg.cdb
calender/month/db/month.rtlv_sg_swap.cdb
calender/month/db/month.sgdiff.cdb
calender/month/db/month.sgdiff.hdb
calender/month/db/month.signalprobe.cdb
calender/month/db/month.sim.hdb
calender/month/db/month.sim.qmsg
calender/month/db/month.sim.rdb
calender/month/db/month.sim.vwf
calender/month/db/month.sld_design_entry.sci
calender/month/db/month.sld_design_entry_dsc.sci
calender/month/db/month.syn_hier_info
calender/month/db/month.tan.qmsg
calender/month/db/month_cmp.qrpt
calender/month/db/month_sim.qrpt
calender/year/cmp_state.ini
calender/year/year.asm.rpt
calender/year/year.bdf
calender/year/year.bsf
calender/year/year.done
calender/year/year.fit.eqn
calender/year/year.fit.rpt
calender/year/year.fit.summary
calender/year/year.flow.rpt
calender/year/year.map.eqn
calender/year/year.map.rpt
calender/year/year.map.summary
calender/year/year.pin
calender/year/year.pof
calender/year/year.qpf
calender/year/year.qsf
calender/year/year.qws
calender/year/year.sim.rpt
calender/year/year.sof
calender/year/year.tan.rpt
calender/year/year.tan.summary
calender/year/year.vwf
calender/year/db/year.(0).cnf.cdb
calender/year/db/year.(0).cnf.hdb
calender/year/db/year.(1).cnf.cdb
calender/year/db/year.(1).cnf.hdb
calender/year/db/year.asm.qmsg
calender/year/db/year.cmp.cdb
calender/year/db/year.cmp.ddb
calender/year/db/year.cmp.hdb
calender/year/db/year.cmp.rdb
calender/year/db/year.cmp.tdb
calender/year/db/year.cmp0.ddb
calender/year/db/year.db_info
calender/year/db/year.eco.cdb
calender/year/db/year.eds_overflow
calender/year/db/year.fit.qmsg
calender/year/db/year.fnsim.cdb
calender/year/db/year.fnsim.hdb
calender/year/db/year.hier_info
calender/year/db/year.hif
calender/year/db/year.icc
calender/year/db/year.map.cdb
calender/year/db/year.map.hdb
calender/year/db/year.map.qmsg
calender/year/db/year.pre_map.cdb
calender/year/db/year.pre_map.hdb
calender/year/db/year.psp
calender/year/db/year.rtlv.hdb
calender/year/db/year.rtlv_sg.cdb
calender/year/db/year.rtlv_sg_swap.cdb
calender/year/db/year.sgdiff.cdb
calender/year/db/year.sgdiff.hdb
calender/year/db/year.signalprobe.cdb
calender/year/db/year.sim.hdb
calender/year/db/year.sim.qmsg
calender/year/db/year.sim.rdb
calender/year/db/year.sim.vwf
calender/year/db/year.sld_design_entry.sci
calender/year/db/year.sld_design_entry_dsc.sci
calender/year/db/year.syn_hier_info
calender/year/db/year.tan.qmsg
calender/year/db/year_cmp.qrpt
calender/year/db/year_sim.qrpt
clock/4bit.bdf
clock/4bit.bsf
clock/clock.asm.rpt
clock/clock.bdf
clock/clock.bsf
clock/clock.done
clock/clock.fit.eqn
clock/clock.fit.rpt
clock/clock.fit.summary
clock/clock.flow.rpt
clock/clock.map.eqn
clock/clock.map.rpt
clock/clock.map.summary
clock/clock.pin
clock/clock.pof
clock/clock.qpf
clock/clock.qsf
clock/clock.qws
clock/clock.sim.rpt
clock/clock.sof
clock/clock.tan.rpt
clock/clock.tan.summary
clock/clock.vwf
clock/cmp_state.ini
clockyear
clock/4bit/4bit.asm.rpt
clock/4bit/4bit.bdf
clock/4bit/4bit.bsf
clock/4bit/4bit.done
clock/4bit/4bit.fit.eqn
clock/4bit/4bit.fit.rpt
clock/4bit/4bit.fit.summary
clock/4bit/4bit.flow.rpt
clock/4bit/4bit.map.eqn
clock/4bit/4bit.map.rpt
clock/4bit/4bit.map.summary
clock/4bit/4bit.pin
clock/4bit/4bit.pof
clock/4bit/4bit.qpf
clock/4bit/4bit.qsf
clock/4bit/4bit.qws
clock/4bit/4bit.sim.rpt
clock/4bit/4bit.sof
clock/4bit/4bit.tan.rpt
clock/4bit/4bit.tan.summary
clock/4bit/4bit.vwf
clock/4bit/cmp_state.ini
clock/4bit/db/4bit.(0).cnf.cdb
clock/4bit/db/4bit.(0).cnf.hdb
clock/4bit/db/4bit.asm.qmsg
clock/4bit/db/4bit.cmp.cdb
clock/4bit/db/4bit.cmp.ddb
clock/4bit/db/4bit.cmp.hdb
clock/4bit/db/4bit.cmp.rdb
clock/4bit/db/4bit.cmp.tdb
clock/4bit/db/4bit.cmp0.ddb
clock/4bit/db/4bit.db_info
clock/4bit/db/4bit.eco.cdb
clock/4bit/db/4bit.eds_overflow
clock/4bit/db/4bit.fit.qmsg
clock/4bit/db/4bit.fnsim.cdb
clock/4bit/db/4bit.fnsim.hdb
clock/4bit/db/4bit.hier_info
clock/4bit/db/4bit.hif
clock/4bit/db/4bit.icc
clock/4bit/db/4bit.map.cdb
clock/4bit/db/4bit.map.hdb
clock/4bit/db/4bit.map.qmsg
clock/4bit/db/4bit.pre_map.cdb
clock/4bit/db/4bit.pre_map.hdb
clock/4bit/db/4bit.psp
clock/4bit/db/4bit.rtlv.hdb
clock/4bit/db/4bit.rtlv_sg.cdb
clock/4bit/db/4bit.rtlv_sg_swap.cdb
clock/4bit/db/4bit.sgdiff.cdb
clock/4bit/db/4bit.sgdiff.hdb
clock/4bit/db/4bit.signalprobe.cdb
clock/4bit/db/4bit.sim.hdb
clock/4bit/db/4bit.sim.qmsg
clock/4bit/db/4bit.sim.rdb
clock/4bit/db/4bit.sim.vwf
clock/4bit/db/4bit.sld_design_entry.sci
clock/4bit/db/4bit.sld_design_entry_dsc.sci
clock/4bit/db/4bit.syn_hier_info
clock/4bit/db/4bit.tan.qmsg
clock/4bit/db/4bit_cmp.qrpt
clock/4bit/db/4bit_sim.qrpt
clock/db/clock.(0).cnf.cdb
clock/db/clock.(0).cnf.hdb
clock/db/clock.(1).cnf.cdb
clock/db/clock.(1).cnf.hdb
clock/db/clock.(2).cnf.cdb
clock/db/clock.(2).cnf.hdb
clock/db/clock.(3).cnf.cdb
clock/db/clock.(3).cnf.hdb
clock/db/clock.asm.qmsg
clock/db/clock.cmp.cdb
clock/db/clock.cmp.ddb
clock/db/clock.cmp.hdb
clock/db/clock.cmp.rdb
clock/db/clock.cmp.tdb
clock/db/clock.cmp0.ddb
clock/db/clock.db_info
clock/db/clock.eco.cdb
clock/db/clock.eds_overflow
clock/db/clock.fit.qmsg
clock/db/clock.fnsim.cdb
clock/db/clock.fnsim.hdb
clock/db/clock.hier_info
clock/db/clock.hif
clock/db/clock.icc
clock/db/clock.map.cdb
clock/db/clock.map.hdb
clock/db/clock.map.qmsg
clock/db/clock.pre_map.cdb
clock/db/clock.pre_map.hdb
clock/db/clock.psp
clock/db/clock.rtlv.hdb
clock/db/clock.rtlv_sg.cdb
clock/db/clock.rtlv_sg_swap.cdb
clock/db/clock.sgdiff.cdb
clock/db/clock.sgdiff.hdb
clock/db/clock.signalprobe.cdb
clock/db/clock.sim.hdb
clock/db/clock.sim.qmsg
clock/db/clock.sim.rdb
clock/db/clock.sim.vwf
clock/db/clock.sld_design_entry.sci
clock/db/clock.sld_design_entry_dsc.sci
clock/db/clock.syn_hier_info
clock/db/clock.tan.qmsg
clock/db/clock_cmp.qrpt
clock/db/clock_sim.qrpt
clockmonth16
clock/tst/cmp_state.ini
clock/tst/test.asm.rpt
clock/tst/test.bdf
clock/tst/test.done
clock/tst/test.fit.eqn
clock/tst/test.fit.rpt
clock/tst/test.fit.summary
clock/tst/test.flow.rpt
clock/tst/test.map.eqn
clock/tst/test.map.rpt
clock/tst/test.map.summary
clock/tst/test.pin
clock/tst/test.pof
clock/tst/test.qpf
clock/tst/test.qsf
clock/tst/test.qws
clock/tst/test.sim.rpt
clock/tst/test.sof
clock/tst/test.tan.rpt
clock/tst/test.tan.summary
clock/tst/test.vwf
clock/tst/db/test.(0).cnf.cdb
clock/tst/db/test.(0).cnf.hdb
clock/tst/db/test.(1).cnf.cdb
clock/tst/db/test.(1).cnf.hdb
clock/tst/db/test.asm.qmsg
clock/tst/db/test.cmp.cdb
clock/tst/db/test.cmp.ddb
clock/tst/db/test.cmp.hdb
clock/tst/db/test.cmp.rdb
clock/tst/db/test.cmp.tdb
clock/tst/db/test.cmp0.ddb
clock/tst/db/test.db_info
clock/tst/db/test.eco.cdb
clock/tst/db/test.eds_overflow
clock/tst/db/test.fit.qmsg
clock/tst/db/test.fnsim.cdb
clock/tst/db/test.fnsim.hdb
clock/tst/db/test.hier_info
clock/tst/db/test.hif
clock/tst/db/test.icc
clock/tst/db/test.map.cdb
clock/tst/db/test.map.hdb
clock/tst/db/test.map.qmsg
clock/tst/db/test.pre_map.cdb
clock/tst/db/test.pre_map.hdb
clock/tst/db/test.psp
clock/tst/db/test.rtlv.hdb
clock/tst/db/test.rtlv_sg.cdb
clock/tst/db/test.rtlv_sg_swap.cdb
clock/tst/db/test.sgdiff.cdb
clock/tst/db/test.sgdiff.hdb
clock/tst/db/test.signalprobe.cdb
clock/tst/db/test.sim.hdb
clock/tst/db/test.sim.qmsg
clock/tst/db/test.sim.rdb
clock/tst/db/test.sim.vwf
clock/tst/db/test.sld_design_entry.sci
clock/tst/db/test.sld_design_entry_dsc.sci
clock/tst/db/test.syn_hier_info
clock/tst/db/test.tan.qmsg
clock/tst/db/test_cmp.qrpt
clock/tst/db/test_sim.qrpt
db/clock.db_info
db/clock.eco.cdb
db/clock.eds_overflow
db/clock.fnsim.cdb
db/clock.fnsim.hdb
db/clock.hier_info
db/clock.map.qmsg
db/clock.psp
db/clock.sim.hdb
db/clock.sim.qmsg
db/clock.sim.rdb
db/clock.sim.vwf
db/clock.sld_design_entry.sci
db/clock.sld_design_entry_dsc.sci
db/clock_last.(0).cnf.cdb
db/clock_last.(0).cnf.hdb
db/clock_last.(1).cnf.cdb
db/clock_last.(1).cnf.hdb
db/clock_last.(10).cnf.cdb
db/clock_last.(10).cnf.hdb
db/clock_last.(11).cnf.cdb
db/clock_last.(11).cnf.hdb
db/clock_last.(12).cnf.cdb
db/clock_last.(12).cnf.hdb
db/clock_last.(13).cnf.cdb
db/clock_last.(13).cnf.hdb
db/clock_last.(14).cnf.cdb
db/clock_last.(14).cnf.hdb
db/clock_last.(15).cnf.cdb
db/clock_last.(15).cnf.hdb
db/clock_last.(16).cnf.cdb
db/clock_last.(16).cnf.hdb
db/clock_last.(2).cnf.cdb
db/clock_last.(2).cnf.hdb
db/clock_last.(3).cnf.cdb
db/clock_last.(3).cnf.hdb
db/clock_last.(4).cnf.cdb
db/clock_last.(4).cnf.hdb
db/clock_last.(5).cnf.cdb
db/clock_last.(5).cnf.hdb
db/clock_last.(6).cnf.cdb
db/clock_last.(6).cnf.hdb
db/clock_last.(7).cnf.cdb
db/clock_last.(7).cnf.hdb
db/clock_last.(8).cnf.cdb
db/clock_last.(8).cnf.hdb
db/clock_last.(9).cnf.cdb
db/clock_last.(9).cnf.hdb
db/clock_last.asm.qmsg
db/clock_last.cmp.cdb
db/clock_last.cmp.ddb
db/clock_last.cmp.hdb
db/clock_last.cmp.rdb
db/clock_last.cmp.tdb
db/clock_last.cmp0.ddb
db/clock_last.db_info
db/clock_last.eco.cdb
db/clock_last.eds_overflow
db/clock_last.fit.qmsg
db/clock_last.fnsim.hdb
db/clock_last.hier_info
db/clock_last.hif
db/clock_last.icc
db/clock_last.map.cdb
db/clock_last.map.hdb
db/clock_last.map.qmsg
db/clock_last.pre_map.cdb
db/clock_last.pre_map.hdb
db/clock_last.psp
db/clock_last.rtlv.hdb
db/clock_last.rtlv_sg.cdb
db/clock_last.rtlv_sg_swap.cdb
db/clock_last.sgdiff.cdb
db/clock_last.sgdiff.hdb
db/clock_last.signalprobe.cdb
db/clock_last.sim.hdb
db/clock_last.sim.qmsg
db/clock_last.sim.rdb
db/clock_last.sim.vwf
db/clock_last.sld_design_entry.sci
db/clock_last.sld_design_entry_dsc.sci
db/clock_last.syn_hier_info
db/clock_last.tan.qmsg
db/clock_last_cmp.qrpt
db/clock_last_sim.qrpt
db/clock_sim.qrpt
sel/4IN1.bdf
sel/4IN1.bsf
sel/cmp_state.ini
sel/sel.asm.rpt
sel/sel.bdf
sel/sel.bsf
sel/sel.done
sel/sel.fit.eqn
sel/sel.fit.rpt
sel/sel.fit.summary
sel/sel.flow.rpt
sel/sel.map.eqn
sel/sel.map.rpt
sel/sel.map.summary
sel/sel.pin
sel/sel.pof
sel/sel.qpf
sel/sel.qsf
sel/sel.qws
sel/sel.sim.rpt
sel/sel.sof
sel/sel.tan.rpt
sel/sel.tan.summary
sel/sel.vwf
sel/db/sel.(0).cnf.cdb
sel/db/sel.(0).cnf.hdb
sel/db/sel.(1).cnf.cdb
sel/db/sel.(1).cnf.hdb
sel/db/sel.asm.qmsg
sel/db/sel.cmp.cdb
sel/db/sel.cmp.ddb
sel/db/sel.cmp.hdb
sel/db/sel.cmp.rdb
sel/db/sel.cmp.tdb
sel/db/sel.cmp0.ddb
sel/db/sel.db_info
sel/db/sel.eco.cdb
sel/db/sel.eds_overflow
sel/db/sel.fit.qmsg
sel/db/sel.fnsim.cdb
sel/db/sel.fnsim.hdb
sel/db/sel.hier_info
sel/db/sel.hif
sel/db/sel.icc
sel/db/sel.map.cdb
sel/db/sel.map.hdb
sel/db/sel.map.qmsg
sel/db/sel.pre_map.cdb
sel/db/sel.pre_map.hdb
sel/db/sel.psp
sel/db/sel.rtlv.hdb
sel/db/sel.rtlv_sg.cdb
sel/db/sel.rtlv_sg_swap.cdb
sel/db/sel.sgdiff.cdb
sel/db/sel.sgdiff.hdb
sel/db/sel.signalprobe.cdb
sel/db/sel.sim.hdb
sel/db/sel.sim.qmsg
sel/db/sel.sim.rdb
sel/db/sel.sim.vwf
sel/db/sel.sld_design_entry.sci
sel/db/sel.sld_design_entry_dsc.sci
sel/db/sel.syn_hier_info
sel/db/sel.tan.qmsg
sel/db/sel_cmp.qrpt
sel/db/sel_sim.qrpt
stopwatch/cmp_state.ini
stopwatch/stopwatch.asm.rpt
stopwatch/stopwatch.bdf
stopwatch/stopwatch.bsf
stopwatch/stopwatch.done
stopwatch/stopwatch.fit.eqn
stopwatch/stopwatch.fit.rpt
stopwatch/stopwatch.fit.summary
stopwatch/stopwatch.flow.rpt
stopwatch/stopwatch.map.eqn
stopwatch/stopwatch.map.rpt
stopwatch/stopwatch.map.summary
stopwatch/stopwatch.pin
stopwatch/stopwatch.pof
stopwatch/stopwatch.qpf
stopwatch/stopwatch.qsf
stopwatch/stopwatch.qws
stopwatch/stopwatch.sim.rpt
stopwatch/stopwatch.sof
stopwatch/stopwatch.tan.rpt
stopwatch/stopwatch.tan.summary
stopwatch/stopwatch.vwf
stopwatch/db/stopwatch.(0).cnf.cdb
stopwatch/db/stopwatch.(0).cnf.hdb
stopwatch/db/stopwatch.(1).cnf.cdb
stopwatch/db/stopwatch.(1).cnf.hdb
stopwatch/db/stopwatch.asm.qmsg
stopwatch/db/stopwatch.cmp.cdb
stopwatch/db/stopwatch.cmp.ddb
stopwatch/db/stopwatch.cmp.hdb
stopwatch/db/stopwatch.cmp.rdb
stopwatch/db/stopwatch.cmp.tdb
stopwatch/db/stopwatch.cmp0.ddb
stopwatch/db/stopwatch.db_info
stopwatch/db/stopwatch.eco.cdb
stopwatch/db/stopwatch.eds_overflow
stopwatch/db/stopwatch.fit.qmsg
stopwatch/db/stopwatch.fnsim.cdb
stopwatch/db/stopwatch.fnsim.hdb
stopwatch/db/stopwatch.hier_info
stopwatch/db/stopwatch.hif
stopwatch/db/stopwatch.icc
stopwatch/db/stopwatch.map.cdb
stopwatch/db/stopwatch.map.hdb
stopwatch/db/stopwatch.map.qmsg
stopwatch/db/stopwatch.pre_map.cdb
stopwatch/db/stopwatch.pre_map.hdb
stopwatch/db/stopwatch.psp
stopwatch/db/stopwatch.rtlv.hdb
stopwatch/db/stopwatch.rtlv_sg.cdb
stopwatch/db/stopwatch.rtlv_sg_swap.cdb
stopwatch/db/stopwatch.sgdiff.cdb
stopwatch/db/stopwatch.sgdiff.hdb
stopwatch/db/stopwatch.signalprobe.cdb
stopwatch/db/stopwatch.sim.hdb
stopwatch/db/stopwatch.sim.qmsg
stopwatch/db/stopwatch.sim.rdb
stopwatch/db/stopwatch.sim.vwf
stopwatch/db/stopwatch.sld_design_entry.sci
stopwatch/db/stopwatch.sld_design_entry_dsc.sci
stopwatch/db/stopwatch.syn_hier_info
stopwatch/db/stopwatch.tan.qmsg
stopwatch/db/stopwatch_cmp.qrpt
stopwatch/db/stopwatch_sim.qrpt
Sw1/cmp_state.ini
Sw1/Sw1.qpf
Sw1/Sw1.qsf
Sw1/Sw1.qws
Sw1/db/Sw1.db_info
Sw1/db/Sw1.eco.cdb
Sw1/db/Sw1.sld_design_entry.sci
test/7490.bdf
test/clock_test.asm.rpt
test/clock_test.bdf
test/clock_test.done
test/clock_test.fit.eqn
test/clock_test.fit.rpt
test/clock_test.fit.summary
test/clock_test.flow.rpt
test/clock_test.map.eqn
test/clock_test.map.rpt
test/clock_test.map.summary
test/clock_test.pin
test/clock_test.pof
test/clock_test.qpf
test/clock_test.qsf
test/clock_test.qws
test/clock_test.sim.rpt
test/clock_test.sof
test/clock_test.tan.rpt
test/clock_test.tan.summary
test/clock_test.vwf
test/cmp_state.ini
test/db/clock_test.(0).cnf.cdb
test/db/clock_test.(0).cnf.hdb
test/db/clock_test.(1).cnf.cdb
test/db/clock_test.(1).cnf.hdb
test/db/clock_test.(2).cnf.cdb
test/db/clock_test.(2).cnf.hdb
test/db/clock_test.asm.qmsg
test/db/clock_test.cmp.cdb
test/db/clock_test.cmp.ddb
test/db/clock_test.cmp.hdb
test/db/clock_test.cmp.rdb
test/db/clock_test.cmp.tdb
test/db/clock_test.cmp0.ddb
test/db/clock_test.db_info
test/db/clock_test.eco.cdb
test/db/clock_test.eds_overflow
test/db/clock_test.fit.qmsg
test/db/clock_test.fnsim.cdb
test/db/clock_test.fnsim.hdb
test/db/clock_test.hier_info
test/db/clock_test.hif
test/db/clock_test.icc
test/db/clock_test.map.cdb
test/db/clock_test.map.hdb
test/db/clock_test.map.qmsg
test/db/clock_test.pre_map.cdb
test/db/clock_test.pre_map.hdb
test/db/clock_test.psp
test/db/clock_test.rtlv.hdb
test/db/clock_test.rtlv_sg.cdb
test/db/clock_test.rtlv_sg_swap.cdb
test/db/clock_test.sgdiff.cdb
test/db/clock_test.sgdiff.hdb
test/db/clock_test.signalprobe.cdb
test/db/clock_test.sim.hdb
test/db/clock_test.sim.qmsg
test/db/clock_test.sim.rdb
test/db/clock_test.sim.vwf
test/db/clock_test.sld_design_entry.sci
test/db/clock_test.sld_design_entry_dsc.sci
test/db/clock_test.syn_hier_info
test/db/clock_test.tan.qmsg
test/db/clock_test_cmp.qrpt
test/db/clock_test_sim.qrpt

자료후기(26)

26개 리뷰 평점
  • A+최고예요
    21
  • A좋아요
    5
  • B괜찮아요
    0
  • C아쉬워요
    0
  • D별로예요
    0
*동*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
디지털 시계 회로 쿼터스 파일 포함(시계, 스탑워치, 알람, 윤달 기능 달력)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업