Active HDL로 구현한 ALU
- 최초 등록일
- 2007.01.07
- 최종 저작일
- 2006.11
- 11페이지/ 압축파일
- 가격 1,500원
소개글
VHDL로 구현한 ALU입니다
프로그램 소스하고 설명 다 있고, 레포트형식으로 만든 한글파일도 있습니다.
컴퓨터 실험 과목 수강해서 A+ 나온 자료입니다
필요하신 분 받아가세요
컴파일 실행환경
1) arithmetic.vhd
library ieee;
use ieee.std_logic_1164.all;
entity arithmetic is
port(a_in,b_in:in std_logic_vector(3 downto 0);
sel:in std_logic_vector(1 downto 0);
cin:in std_logic;
d_out:out std_logic_vector(3 downto 0);
cout:out std_logic);
end arithmetic;
architecture RTL of arithmetic is
component mux4x1
port ( m0,m1,m2,m3: in std_logic;
sel:in std_logic_vector(1 downto 0);
temp_y : out std_logic);
end component;
component FA
port(x_in,y_in,c_in:in std_logic;
c_out,d_out:out std_logic);
end component;
signal not_b:std_logic_vector(3 downto 0);
signal temp_y:std_logic_vector(3 downto 0);
signal inzero,inone:std_logic;
signal c_out:std_logic_vector(2 downto 0);
압축파일 내 파일목록
ALU.aws
ALU.hwp
ALU.wsw
library.cfg
ALU/0ALU.mgf
ALU/1ALU.mgf
ALU/3ALU.mgf
ALU/ALU.adf
ALU/ALU.LIB
ALU/ALU.rlb
ALU/ALU.wsp
ALU/bde.set
ALU/compilation.order
ALU/compile.cfg
ALU/Edfmap.ini
ALU/elaboration.log
ALU/projlib.cfg
ALU/synthesis.order
ALU/compile/ALU.cmd
ALU/compile/ALU.epr
ALU/compile/ALU.erf
ALU/compile/ALU.top
ALU/compile/contents.lib~
ALU/compile/sources.sth
ALU/log/console.log
ALU/src/ALU.vhd
ALU/src/arithmetic.vhd
ALU/src/FA.vhd
ALU/src/logic.vhd
ALU/src/logic_mux.vhd
ALU/src/mux4x1.vhd
ALU/src/shl.vhd
ALU/src/shr.vhd
ALU/src/Waveform Editor 1.awf
참고 자료
없음