• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[전자] VHDL

*성*
최초 등록일
2005.06.10
최종 저작일
2003.11
5페이지/한글파일 한컴오피스
가격 3,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 서론
1.1 하드웨어 설계 환경

2. 하드웨어 기술 언어

3. VHDL의 출현

4. VHDL의 특징
4.1. 표준화된 HDL
4.2. 이용의 확대
4.3. 설계를 위한 우수한 하드웨어 기술 능력
4.4. 언어로서 다양한 기능 제공
4.5. 디지털 설계
4.6. 문제점

5. VHDL의 표현방법
5.1. 동작적 모델링
5.2. 자료흐름 모델링
5.3. 구조적 모델링

본문내용

2. 하드웨어 기술 언어
하드웨어 기술 언어(HDL)는 하드웨어를 기술 또는 표현하는 언어이 다. 다시 말하면, 디지털 시스템의 기능 및 하드웨어적 특징을 컴퓨터의 고급언어에 접합하 여 원하는 회로를 기술할 수 있도록 하는 언어를 말한다. 또한 우리는 지금까지 흔히 하드 웨어를 스키메틱 다이어그램으로 나타냈지만 이를 대신하여 하드웨어를 표현할 수 있도록 하는 언어적 기능을 가진 것을 말한다. HDL을 사용하여 디지털 시스템의 모델링을 비롯하 여 시뮬레이션, 설계, 문서화, 검증, 합성을 할 수 있으며, 게이트 레벨 뿐만 아니라 동작적 및 구조적 레벨에서 하드웨어를 표현할 수 있다. 일부 설계자들이 지금까지 사용 해 오던 설계 스키메틱 도구대신에 HDL 도구를 사용하는 것으로 전환하는 것을 망설이고 있지만, 설계의 크기가 5만 게이트 이상이면 스키메틱으로 설계하는 것이 어려워지므로 HDL로 전환해야 하는 것은 시대적 요 구라 할 수 있다. HDL을 사용함에 있어서 장점은 간결한 하드웨어 표현, 편리한 문서화, 시 뮬레이션의 용이성, 설계정보 교환 등이 있다.
☞ VHDL이 나오기 이전까지 주로 사용했던 HDL
▷ AHPL(A Hardware Programming Language)
▷ CDL(Computer Design Language)
▷ C 언어
▷ IDL(Interactive Design Language)
▷ ISPS(Instruction Set Processor Specification)
▷ TDL(TEGAS HDL or Texas Instrument HDL)
▷ UDL/I(Unified Design Language for IC)
▷ Verilog-HDL
▷ ZEUS
▷ HILO

참고 자료

없음

이 자료와 함께 구매한 자료

*성*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL를 이용한 FPGA설계 레포트코드해석본 25페이지
    PROJECT Reporting date 2018.06.22 Major 전자공학과 ... VHDL CODE 및 핀 할당 ························ ... Subject 디지털시스템설계실습VHDL Student ID 5 Professor
  • 파일확장자 전자공학과 논리회로 A 설계 프로젝트 보고서 (VHDL코드포함) 6페이지
    각 step에 대한 알고리즘 및 논리 설명 -곱하는 수(multiplier)의 자릿수에서 0이면 과정을 넘어가고 1이면 계산을 진행한다. 이때의 계산은 곱해지는 수(multiplicand)를 그대로 이용하였다.(2step, 3step에서는 비트 자리를 이동하여 계산과정..
  • 파일확장자 전자공학과 논리회로실험 A+ 프로젝트 보고서 (VHDL코드포함) 17페이지
    1. 설계 목표 및 요구사항1) 설계 요구사항. -FPGA Kit에서 7 segment 모듈과 Keypad 모듈을 이용하여 Digital Lock을 설계한다. -Digital Lock은 6자리 비밀번호를 설정하는 기능, 설정된 비밀 번호가 입력되면 잠금 해제되는 기능,..
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계 7페이지
    일상생활에서 쓰는 거의 대부분의 전자제품에 이런 소형 LCD가 들어가 있는데 ... Source & Results 1)VHDL Source 2)TestBench ... lcd-display-interfacing-with-altera-fpga-vhdl
  • 한글파일 [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계 4페이지
    전자기초디지털논리설계 10장 과제 1. ... 실습 제목 ModelSim을 이용한 VHDL 실습 과제 2. ... 첫 걸음을 뗄 수 있는 좋은 경험이었고 이번 실습을 통해 VHDL 코딩과
더보기
최근 본 자료더보기
탑툰 이벤트
[전자] VHDL
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업