[vhdl] 트래픽 콘트롤러
- 최초 등록일
- 2004.06.03
- 최종 저작일
- 2004.06
- 16페이지/ 한컴오피스
- 가격 1,000원
* 본 문서는 한글 2005 이상 버전에서 작성된 문서입니다.
한글 2002 이하 프로그램에서는 열어볼 수 없으니, 한글 뷰어프로그램(한글 2005 이상)을 설치하신 후 확인해주시기 바랍니다.
소개글
스테이트 머신으로 만들었습니다. 소스 및 시뮬레이션 화면 다 있습니다
목차
❏ Source
< Controller >
< Counter >
< Light Controller >
< Segment Driver(high) >
< Segment Driver(low) >
< top >
❏ test_bench
❏ simulation
본문내용
❏ Source
< Controller >
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity controller is port(
clk : in std_logic;
rst : in std_logic;
Sa : in std_logic;
Sb : in std_logic;
Emergency : in std_logic;
count : in std_logic_vector(4 downto 0);
state : out std_logic_vector(1 downto 0));
end controller;
참고 자료
없음