• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[c++] Vending Machine

*진*
최초 등록일
2003.10.10
최종 저작일
2003.10
5페이지/파일확장자 C언어
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

C++로 programing한 Vending Machine입니다.

목차

없음

본문내용

#include <iostream.h>
#include <iomanip.h>
#include <stdlib.h>

int price[10] = {65, 60, 40, 20, 45, 70, 80, 55, 45, 65};
int remainItem[10] = {1, 1, 1, 1, 1, 1, 1, 1, 1, 1};
int remainMoney[4] = {0, 3, 3, 3};
int continueLoop;
int chooseItem, itemPrice;
int dollar, quarters, dimes, nikels;
int dolNum, quaNum, dimNum, nikNum;
int tempDolNum, tempQuaNum, tempDimNum, tempNikNum;
bool result;

void start();
void displayItem();
void getMoney();
void getItem();
bool calculateChange();
void showResult();
void showRemainItem();
void showRemainChange();
void fail();

참고 자료

없음

이 자료와 함께 구매한 자료

*진*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 기초전자회로실험_vending machine 11페이지
    Lab05 Vending Machine I. ... 실험과정 및 결과 -문제의 정의로부터 Vending Machine의 구조인 ... Bread Board 상에 구현된, Vending Machine 의 입력
  • 파워포인트파일 코카콜라 경영전략(사례분석) 12페이지
    마케팅 Vending machine 2 3 Q/A Discussion Profile ... KFC + 서브웨이 + 버드와이저 전세계 200 개국에서 탄산 , 스포츠 ... Machine 01 막대한 수의 자판기를 소유함으로써 판매 채널 점유율을
  • 한글파일 디지털 회로 설계 프로젝트 vending machine (자판기) 7페이지
    VENDING MACHINE table of contents a. ... machine에 필요한 load 기능만 이용했다. adder의 output은 ... hold, shift left, shift right, load 기능 중 이 vending
  • 파일확장자 vending machine(자판기) 13페이지
    quartus를 이용하여 verilog HDL code로 작성한 vending ... machine(자판기)입니다. ... 같다는 것을 직접 확인하실 수 있습니다. 2014년도 1학기 수업에서 A+를
  • 한글파일 자판기(vending machine) VHDL 8페이지
    자판기(vending machine) 디지털 시스템 설계의 예로 자판기(vending ... 상태도 자판기(vending machine)를 Moore Machine으로 ... 은 자판기(vending machine)의 블록도 이다.
더보기
최근 본 자료더보기
  • 프레시홍 - 전복
탑툰 이벤트
[c++] Vending Machine
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업