• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[vhdl] VHDL 계산기2

*종*
최초 등록일
2003.09.17
최종 저작일
2003.09
5페이지/파워포인트파일 MS 파워포인트
가격 1,500원 할인쿠폰받기
다운로드
장바구니

목차

1.키입력처리1,2,3,4

본문내용

VHDL 계산기 키입력 처리 부분 코딩

키입력처리1
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity key is
port( clk : in std_logic;
key_0,key_1,key_2,key_3,key_4,key_5,key_6,key_7,key_8,key_9 : in std_logic;
key_a,key_b,key_c,key_d,key_e,key_f : in std_logic;
x1,x2,x3 : buffer integer range 0 to 9;
y1,y2,y3 : buffer integer range 0 to 9;
data : buffer integer range 0 to 9;
count : buffer integer range 0 to 9;
key_event : buffer std_logic;
start : buffer std_logic;
sel : buffer std_logic_vector(1 downto 0));
end key;

참고 자료

없음

자료후기(2)

*종*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 파일확장자 논리회로실험 프로젝트 예비 8페이지
    요구사항에 대한 분석 및 해결방안6자리 숫자를 사칙 연산할 수 있는 계산기를 ... Kit에서 7 Segment 모듈과 Keypad 모듈을 이용하여 사칙연산 계산기를 ... 설계한다(입력된 숫자 및 계산결과는 7 Segment 표시기에 표시 되어야
  • 한글파일 디지털시스템설계실습 전감산기 결과보고서 4페이지
    하는 독특한 계산을 하는데 이를 VHDL로 설계해 볼 수 있어서 흥미로웠고 ... 연습문제 2. 전감산기에 대해 뺄셈 결과의 논리식을 XOR로 나타내라. ... 전감산기를 Verilog 또는 VHDL로 설계하고 다음에 코드를 나타내라.
  • 한글파일 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서 8페이지
    비트수가 많은 경 우 빠른 연산을 위해서는 모든 carry를 한꺼번에 계산하는 ... 진수 에 대한 2’s complement 표현을 이용하면 가산기의 입력에 ... A, B의 크기를 비교하는 회로를 [그림 1]과 같이 설계하였다.
  • 한글파일 [인하대 전자기초디지털논리설계]VHDL을 이용한 4bit Full Adder 설계 4페이지
    실습 제목 ModelSim을 이용한 VHDL 실습 과제 2. ... 구해지고, 하위 비트의 캐리가 다음 상위 비트 연산에 영향을 주어 연속적으로 계산되는 ... 이 가산기에서 두 출력인 Sum, Cout을 식으로 나타내면 다음과 같다.
  • 한글파일 BCD 가산기 설계 결과보고서 3페이지
    또한 BCD의 덧셈이 9를 넘을 경우 6(0110)을 더해서 계산하는 방식도 ... 감산기로 동작할 경우 빼는 수의 2의 보수를 취해서 더해야 한다. ... 설계 할 때와 Verilog 또는 VHDL로 설계할 때의 장단점을 설명하라
더보기
최근 본 자료더보기
탑툰 이벤트
[vhdl] VHDL 계산기2
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업