• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[VHDL] 가산기

*규*
최초 등록일
2003.08.13
최종 저작일
2003.08
18페이지/워드파일 MS 워드
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

가산기의 종류 10가지
VHDL 프로그램및 결과 파형까지
그리고 약간의 고찰까지...
가산기 레포트 이것으로 끝...

목차

1.반가산기
2.전가산기
3.가산기의 종류
4.가산기 VHDL Program
5.가산기 알고리즘 비교

본문내용

1.반가산기

반가산기(helf adder)는 4자리수 중에서 한 자리수의 셈만을 생각한 경우이며, 아래자리에서 가산된 결과로 발생되는 캐리(carry)는 감안하지 않는 가산이다. 현재 자리만의 가산을 생각한다면, 현재 자리의 몫과 다음 자리수로 올라가는 올림수만을 고려해보면 다음 표 9-1과 같은 4가지의 경우만이 나타난다.
표에서 S가 "1"인 경우를 보면 A. B 두 입력이 0과 1일 때만 출력된다. 그리고 올림수(carry) C는 A, B 두 입력이 1일 경우에만 1일 출력된다.
우리는 이렇게 S와 C가 1인 경우만을 민텀(minterm)이라고 하며, 민텀들을 논리식으로 표시하면 다음과 같다.

참고 자료

없음
*규*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL-1-가산기,감산기 34페이지
    REPORT 실습제목: 반가산기 1. ... 따라서 XOR에 0을 넣으면 가산기, 1을 넣으면 감산기 역할을 하는 회로를 ... 이후 두 번째 반가산기에서 temp1과 Cin을 입력으로 사용한다.
  • 한글파일 논리회로설계실험 BCD가산기 레포트 14페이지
    논리회로설계 실험 설계과제 보고서 주제 : #1 BCD 가산기 설계 1. ... 만든다. 2) 설계 목표 입력 받은 2개의 2자리 10진수를 BCD 가산기로 ... , 다시 우리가 알아보기 쉬운 10진법으로 바꾸는 BCD 코드를 이용한 가산기
  • 워드파일 Full adder VHDL 실습보고서(전가산기) 11페이지
    )와 Subtractor(감산기)를 직접 VHDL코딩을 통해 구현하는 실습이다 ... (Purpose) 이번실습에서는 4 bit Full adder(4비트 전가산기 ... 배경이론(Background) 1)Full adder (전가산기) 1비트의
  • 워드파일 디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기) 7페이지
    이때 시그널 C는 전가산기의 캐리 출력을 받아 다음 비트 가산기의 입력이 ... 테스트벤치를 이용해 시뮬레이션 파형을 구하고 파형을 분석한다. -4비트 가산기의 ... 출력된 캐리가 1이면 캐리까지 더하여서 그 합이 1이 넘으면 다음 비트의 가산기
  • 한글파일 BCD 가산기 설계 결과보고서 3페이지
    설계된 BCD 가산기를 컴파일, 시물레이션하라. ... 설계 실습 7주차 결과보고서 학과 전자공학과 학년 3 학번 성명 ※BCD 가산기 ... 설계는 두 입력을 4비트 가산기로 더한 후, 결과를 다시 BCD로 변환하는
더보기
최근 본 자료더보기
  • 프레시홍 - 전복
탑툰 이벤트
[VHDL] 가산기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업