• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL 디지털 시계

*유*
최초 등록일
2015.10.16
최종 저작일
2011.05
21페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

목차

1. 디지털 시계
1) 목적
2) 디지털 시계에 대한 설명

2. 스톱워치
1) 스톱워치에 대한 설명

3. 소스

본문내용

1)디지털시계

●목적
· MODE SWITCH의 동작에 대하여 공부한다.
· Debounce 회로의 동작에 대하여 공부한다.
· 시계 조정 회로의 동작에 대하여 공부한다.
· Timebase signal generator circuits(general form)에 대하여 공부한다.
· General integer to 7-segment output에 대하여 공부한다.

- watch 모드와 stopwatch 모드의 동작 설명 -
●설명
기본적인 디지털 시계를 설계하고 이를 이용한 시간 조정이 가능한 control circuits 블록을 설계하도록 한다. EDA_Lab3000 실습 키트를 가지고 디지털시계의 동작을 확인하도록 한다. 이 디지털 시계는 stopwatch의 입력 신호인 1/100 sec 신호를 1sec 신호로 입력시키고 60진수 계수기로 변화시키면 기본적으로 동작되는 디지털시계를 만들 수 있다. 디지털시계의 블록 다이아그램은 stopwatch의 블록 다이아그램과 대동소이하다. 회로적으로 차이가 나는 곳은 제어 회로 블록일 것이다. 이는 stopwatch는 start 신호와 함께 ‘0’부터 시작해야 하나, 시계는 현재의 시간을 사용자가 직접조정이 가능해야 하는 시간 조정 기능이 필요함으로 발생되는 회로적인 문제일 것이다. 각 블록에 대한 설명은 다음과 같다.


- 디지털 시계에 대한 설명 -
■ time base signal generator circuits
이 블록은 1 sec, 0.01 sec, 1ms 신호를 발생하는 회로로 디지털시계의 시간 기준 신호를 발생시키는 회로이다. 1sec는 시계를 위한 기본 시간 신호이고, 0.01sec stopwatch를 위한 기본 시간 신호이다. 1 ms 신호는 스위치의 bounce를 제거하는 debounce 회로의 clk으로 입력하도록 하는 신호이다. 그리고 7-segment 출력을 위한 clk으로도 사용한다.

■ second counter 블록
이 블록은 1sec 신호를 받아서 0~59 sec를 계수하는 회로이다.

참고 자료

없음

이 자료와 함께 구매한 자료

*유*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL실습 디지털 시계 14페이지
    VHDL 및 실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ ... 디지털시계의 전체구조는 다음과 같다. ... RTL뷰어를 통해 설계한 디지털시계를 확인할 수 있다.
  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    Vhdl를 이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl를 이용해 ... Vhdl를 이용한 코드 출력 결과 12진 카운터 이론적 배경 디지털 시계에서 ... Vhdl를 이용한 코드 출력 결과 60진 카운터 이론적 배경 디지털 시계구성에서
  • 한글파일 VHDL 디지털 시계 digital watch 15페이지
    구문을 활용하여 1초마다 시간이 흐르는 디지털 시계를 설계한다. 2. ... 실습목적디지털 타이머에 필요한 카운터들을 직접 만들어 보고, Component ... Top Entity로 Schematic을 사용할지 VHDL을 사용할 지는
  • 파워포인트파일 VHDL 디지털시계 발표 자료 29페이지
    하지만 1주가 지나고 2~4주가 지나면서 점점 VHDL를 이용하면서 시계를 ... 완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 ... 화 면 사 진 동 작 화 면 사 진 제작 후기 위의 제작 과정을 통해 디지털시계
  • 파워포인트파일 VHDL 디지털 시계(소스,시뮬레이션,설명) 16페이지
    d gital clock 2v Index Clk_div Dclk_2v Bcd_seg dclk waveform clok div Generic 을 이용하여 n 을 99 로 정의한다 입력 : clkin 출력 : clkout Clkin 에 의해서 clkout 값이 영향 받음 ..
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL 디지털 시계 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업