• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

조합논리회로 1 부호기 예비보고서

*성*
개인인증판매자스토어
최초 등록일
2014.11.28
최종 저작일
2014.04
2페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

목차

1. 실험 목적
2. 실험 이론
3. 복호기 (decoder)
4. 부호기 (encoder)
5. 십진 수치의 표시
6. 참고문헌

본문내용

■실험 목적
-조합논리회로의 기본적인 예가 되는 복호기와 부호기의 동작 원리 및 특성을 확인하고 부호 변환기의 동작을 살펴보는 실험입니다.

■실험 이론
-논리회로는 일반적으로 조합논리회로와 순서논리회로로 구분됩니다. 조합논리회로는 실험 1에서 제시된 기본논리게이트들의 조합을 통해서 구성된 논리회로이고, 순서논리회로는 입력 신호의 순서에 따라서 동작과 출력이 다르게 나타나는 논리회로 입니다. 우선, 이 실험에서는 조합논리회로의 기본적인 예가 되는 복호기와 부호기에 관해서 실험 합니다.

■복호기 (decoder)
-복호기란 디지털로 코드화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로입니다. 아날로그 데이터를 계산이 가능한 부호, 곧 각 시스템 내에서 사용하는 디지털 코드로 변환시켜 주는 인코더(encoder)의 상대용어로, 흔히 디코더(decoder)라고 합니다.

참고 자료

이병기, 『디저털공학실험』, 사이텍미디어, 2000, pp39-47.
Thomas L. Floyd, 『Digital Fundamentals with PLD Programming』, PEARSON Prentice Hall, 2006, pp470-499.
네이버 백과사전, 검색어 인코더, http://100.naver.com/100.nhn?docid=725667
네이버 백과사전, 검색어 해독기, http://100.naver.com/100.nhn?docid=769046
위키 백과사전, 검색어 7세그먼트 표시 장치, http://ko.wikipedia.org/
*성*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 실험2. 가산기 예비보고서 5페이지
    기초이론 2.1 가산기 가산기란 덧셈 연산을 수행하는 논리 회로이며 디지털 ... 실험방법 및 순서 5.1 예비보고에서 설계한대로 7400계열의 NAND 게이트들을 ... 그리고 입력에 대한 출력 전압을 측정하여 실험 결과 보고1번의 [표 2
  • 워드파일 전기및디지털회로실험 실험7 예비보고서 11페이지
    실험 순서 (1) 예비보고서 1항에 해당하는 회로를 7400 NAND gate ... 예비보고서 4항에서 준비한 회로를 구성하고 진리표를 작성하여 그 동작을 ... Y3 Y4 Y5 Y6 Y7 (3) 예비보고서 3항에서 준비한 회로를 구성하고
  • 한글파일 [아날로그 및 디지털 회로 설계실습] 예비보고서7 10페이지
    아날로그 및 디지털 회로 설계실습 (실습7 예비보고서) 소속 전자전기공학부 ... 담당교수 수업 시간 학번 성명 예비 보고서 설계실습 7. ... 논리 회로이며 2진 부호, BCD(binary-coded decimal)
  • 한글파일 예비보고서(5) 부호기복호기 6페이지
    실험제목 : 부호기, 복호기 - 예비보고서 1. ... 이 장에서는 조합논리회로의 기본적인 예가 되는 복호기와 부호기의 동작 원리 ... (Encoder) 부호기는 본질적으로 복호기의 반대 기능을 수행하는 조합논리회로이다
  • 한글파일 결과보고서(5 복호기부호기) 8페이지
    1 1 앞서 예비 보고서에서 기술했듯이 부호기(인코더)는 복호기(디코더) ... 1 0 0 1 1 1 0 0 0 앞서 예비 보고서에서 기술했듯이 복호기(디코더 ... 실험제목 : 복호기, 부호기 - 결과보고서 [결과 및 고찰] (a) 2-to
더보기
최근 본 자료더보기
탑툰 이벤트
조합논리회로 1 부호기 예비보고서
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업