• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL을 이용한 디지털 시계 설계

*승*
개인인증판매자스토어
최초 등록일
2014.11.04
최종 저작일
2013.03
20페이지/한글파일 한컴오피스
가격 3,000원2,700원 할인쿠폰받기
다운로드
장바구니

소개글

"VHDL을 이용한 디지털 시계 설계"에 대한 내용입니다.

목차

1. Introduction

2. Design
1) Describe what your circuit does
2) Describe how you solved
3) Provide specifications
4) Discuss how your circuit works
5) Discuss how you test it
6) Provide the simulation result and the code

3. Conclusion & Evaluation

본문내용

이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다. Introduction 에서는 이미 시계는 일상적으로 사용하는 것이므로 검증에 이용할 7-segment에 대해 알아보겠다.
7-segment
Design
1) what your circuit does
디지털 시계
● 시, 분 및 초를 표시하는 디지털 시계
■ 초
● 입력 클럭을 분주하여 1초 단위로 상승
● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로 초기화
■ 분
● 1분 카운트 발생시 1분씩 증가
● 59분에서 60분으로 넘어 갈 때 1시간 카운트 발생, 분은 00으로 초기화

<중 략>

DIGIT : 시계를 표시할 때 사용될 segment를 지정해주는 변수이다.
DIGIT은 segment의 위치를 지정해주는 것이다.
맨 위의 DIGIT이 왼쪽 segment, 아래쪽 DIGIT이 오른쪽 segment를 지정해 주는 것이다.
왼쪽에서부터 시간, 분, 초의 단위를 지정해주고 있다.
SEG_A~G : 왼쪽에서와 같이 하나의 segment는 7가지 부분으로 나뉘어진다. 숫자를 표시하기 위해서는 그 숫자에 맞는 부분을 점등시키게 되고, SEG_A~G는 이 때 필요한 변수들이다.
4) Discuss how your circuit works
이번 실습에 쓰인 Pin table이다. 동작에 맞게 pin을 할당하였으며, DIGIT은 점등될 segment의 번호, SEG_A~G는 segment의 7개 바에 대한 번호이다.
segment는 7개의 바로 이루어 진 것으로, 숫자와 맞게 a~g까지 점등하도록 되어있다. segment는 active “H”를 사용하고 있으므로 1을 입력하면 점등되도록 되어있다.
이것은 우리가 사용할 6개의 segment를 DIGIT과 함께 표현한 것으로, 예시에 사용된 DIGIT은 이번 실습시간에 우리가 작성할 code와는 반대로 되어있다. 우리는 DIGIT(6 downto 1)으로 작성하였으며, pin배정을 DIGIT 6이 맨 오른쪽으로 가도록 해놓았다.

참고 자료

없음

이 자료와 함께 구매한 자료

*승*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 디지털 시계 실습 vhdl 레포트 (12,60진 카운터) 19페이지
    Vhdl이용한 코드 출력 결과 디지털 시계 이론적 배경 Vhdl이용해 ... Vhdl이용한 코드 출력 결과 12진 카운터 이론적 배경 디지털 시계에서 ... Vhdl이용한 코드 출력 결과 60진 카운터 이론적 배경 디지털 시계구성에서
  • 한글파일 VHDL실습 디지털 시계 14페이지
    VHDL 및 실습 디지털 시계 설계 및 시뮬레이션 1.서론 ‘디지털시계‘ ... 이것들을 이용해 본격적으로 디지털시계설계하는 과정을 설명해보려 한다. ... 우선, 디지털시계설계하기 위해서는 다음의 회로들을 설계해야 한다. ①
  • 한글파일 논리회로설계실험 - 디코더/엔코더 예비레포트 9페이지
    디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 ... 목표 디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 ... 논리회로설계 실험 예비보고서 #4 실험 4. 디코더& 엔코더 설계 1.
  • 한글파일 VHDL을 이용한 디지털시계설계 31페이지
    전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계설계하는 ... VHDL을 이용한 디지털시계 4. VHDL Code 5. ... 디지털시계설계 이론 1) 전체적인 디지털시계 설계 ◇ 오전/오후, 시,
  • 파일확장자 vhdl을 이용한 디지털시계+스탑워치 설계 13페이지
    기능시계 Run/Set 모드 - 모드 변경키를 이용하여 Run/Set ... Set모드 진입 시, Key[3] 누르고 있으면 자동 카운팅State문을 이용하여 ... 총 5개의 모드 구현 (시계 Run, 시계 Set, 날짜 Run/Set
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL을 이용한 디지털 시계 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업