• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

[논리회로] 교통신호 제어기의 모델링

*석*
최초 등록일
2003.05.28
최종 저작일
2003.05
7페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

synopsys툴을 이용한 회로 설계 구현

목차

1. 하나의 process문을 두 개의 process문으로 나누어 표현하라.
2. 각각의 STATE 조건문의 'start<=0'를 생략해도 결과는 똑같은가?=>똑같다.
3. TLC-Timer 모델링
4. TOP-TCL 모델링

본문내용

library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_misc.all;
use IEEE.std_logic_components.all;
use work.tlc_pack.all;

PACKAGE TLC_Pack IS
type COLOR is array (1 downto 0) of std_logic;
type STATE is array (1 downto 0) of std_logic;
constant long_duration : integer :=60;
constant short_duration : integer:=30;
constant GL : COLOR :="00";
constant YL : COLOR :="01";
constant RL : COLOR :="10";
constant UL : COLOR :="11";
constant HG : STATE :="00";
constant HY : STATE :="01";
constant FG : STATE :="10";
constant FY : STATE :="11";
end TLC_Pack;

참고 자료

없음
*석*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 퍼지와 신경망의 차 5페이지
    전기 밥솥 식기 세척기 엘리베이터 컴퓨터 게임의 인공지능 엘리베이터 교통 ... 퍼지 논리와 신경 회로망의 차이점은 퍼지 논리는 부정확하거나 모호한 데이터를 ... 퍼지제어기는 복잡한 비선형 시스템의 제어시 퍼지집합을 분할하여 각 영역에
  • 한글파일 [정보통신] VHDL을 이용한 신호제어 5페이지
    교통 신호 제어기 . 1. ... TLC 제어기(TLC_CTL) 앞절에서 설명한 교통 신호 제어기의 동작을 ... 교통 신호 제어기(TLC)의 개요 차량의 통행이 드문 농로와 교차하는 간선
최근 본 자료더보기
탑툰 이벤트
[논리회로] 교통신호 제어기의 모델링
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업