• LF몰 이벤트
  • 파일시티 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

메모리설계

*인*
최초 등록일
2012.10.30
최종 저작일
2011.08
3페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

메모리설계,VHDL설계실험

목차

없음

본문내용

RAM
Library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;

entity ram is
port(
CE, RD, WR : in std_logic;
ADDR, IN_DATA : in std_logic_vector(3 downto 0);
OUT_DATA : out std_logic_vector(3 downto 0) :=(others=>`0`)
);
end ram;

architecture behave of ram is

type RAM_WORD is array (0 to 15) of std_logic_vector(3 downto 0);
signal RAM_DATA : RAM_WORD := ("0000", "0000", "0000", "0000",
"0000", "0000", "0000", "0000",
"0000", "0000", "0000", "0000",
"0000", "0000", "0000", "0000");

begin
process(CE, RD, WR, ADDR, IN_DATA)
begin
if (CE=`0`) then
if (WR=`0`) then
RAM_DATA(conv_integer(ADDR))<=IN_DATA;
elsif (WR=`1` and RD=`0`) then
OUT_DATA<=RAM_DATA(conv_integer(ADDR));
end if;
end if;
end process;
end behave;

참고 자료

없음
*인*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 HDL및실습 RAM과 ROM 메모리 설계하기 A+ 12페이지
    10진수로 변환하는 FUNCTION으로 입력포트 address의 값을 메모리
  • 워드파일 [논리회로설계실험]VHDL을 통해 구현한 RAM 15페이지
    , 읽고 쓰기가 모두 가능한 메모리이다. ... ROM (read only memory) 전원이 꺼져도, 정보를 저장하는 메모리로 ... access memory) 전원이 꺼지면, 모든 데이터가 날아가는 방식의 메모리
  • 파일확장자 [A+, 에리카] 2021-1학기 논리설계및실험 Half Adder, Full Adder 실험결과보고서 8페이지
    회로이다.- 조합논리회로 : 오로지 입력에 의해서만 출력이 결정되며 따로 메모리를 ... 순차논리회로 : 입력과 현재의 상태에 의해 출력이 결정되며 조합논리회로와 달리 메모리에 ... 목적Half Adder와 Full Adder를 이해하고, 각각을 논리회로로 설계
  • 한글파일 논리회로설계실험 스탑와치(stopwatch) 레포트 13페이지
    즉 순차회로는 조합회로와 메모리를 합친 것이다. ... 즉 과거의 상태/신호들을 저장하는 메모리 용량이 유한개인 장치들을 가리키는 ... 논리회로설계 실험 설계과제 보고서 주제 : #2 STOPWATCH 설계 1
  • 워드파일 VHDL을 통해 구현한 Counter 14페이지
    , 유한상태기계) 순차논리회로의 일종으로, 래치, 플립플롭, 레지스터, 메모리 ... 그리고 실습으로는 Counter을 설계해 본다. ... 아래 그림은 코인으로 작동하는 회전문을 설계한 FSM이다.
더보기
최근 본 자료더보기
탑툰 이벤트
메모리설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업