• 파일시티 이벤트
  • 캠퍼스북
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

자판기 설계

*선*
개인인증판매자스토어
최초 등록일
2012.03.07
최종 저작일
2010.11
11페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

디지털시스템 과목에서 자판기 설계 레포트입니다.

목차

1. 서론

2. 본론
(1) 설계내용
(2) 여기표 작성
(3) 논리식 작성
(4) 회로도
(5) Bread Board 구성
(6) 자판기 제작

3. 결론

본문내용

자판기 설계

1. 서론

학교주변이나 우리가 생활하는 주변에서 찾아볼 수 있는 자판기를 만드는 회로를breadboard로 구현하여 동전을 투입하여 결과 내용물과 거스름돈을 얻는 것이 목적이라 할 수 있겠다. 입력은 50원, 100원 짜리 2가지이고, 커피 값은 150원이라고 가정한다. 이 자판기는 커피 값 150원 이상의 돈이 입력되면 무조건 커피를 출력하며, 커피 출력 후에 잔돈이 남을 경우 잔돈을 반환한다.

2. 본론

(1)설계내용
1) 입력파트: 설계하고자 하는 자동판매기의 경우 사용 가능한 동전이 50원짜리와 100원짜리 2가지라고 설정하였기 때문에 이 회로의 입력은 당연히 50원짜리 동전이 입력되는 경우, 100원짜리 동전이 입력되는 경우가 있을 수 있다. 그리고 동전이 들어오지 않는 경우가 있으므로, 50원 짜리가 들어오는 경우, 100원 짜리가 들어오는 경우, 동전이 들어오지 않는 경우 의 3가지가 되어 입력변수 2개가 필요하게 된다. 2개의 입력변수를 각각 I1, I0라 하고, 동전이 입력되지 않을 경우에는 I1I0=00이 되고, 50원짜리 동전이 입력되면 I1I0=01이 되고, 100원짜리 동전이 입력되면 I1I0=10이 된다고 한다. 참고로 입력변수 I1과 I0는 각각 100원 동전과 50원 동전의 입력여부를 나타내는 변수로 생각하고, 입력변수 값이 1일 때 해당 동전이 입력된 것이고 0일 때는 동전이 입력 안된 것으로 생각할 수도 있다.
⦁사용된 주요 부품 : D플립플롭, 스위치, AND게이트

2) 계산파트: 커피 값이 150원임으로 내부에 기억해야할 값의 종류는 현재까지 돈이 하나도 들어오지 않은 경우, 50원이 입력되어 있는 경우, 100원이 입력되어 있는 경우의 3가지이다. 참고로 설계하고자 하는 자판기는 150원 이상이 입력되면 그 순간 커피가 자동으로 출력되도록 규격을 정하였으므로 150원 이상이 들어온 상태를 기억할 필요가 없다.
⦁사용된 주요 부품 : JK플립플롭, 각종 게이트(AND, OR)

참고 자료

없음

자료후기(4)

*선*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 파일확장자 verilog이용한 자판기설계 코드 0페이지
    verilog를 이용하여 구조적 vending machine 설계 입력부
  • 파일확장자 자판기 설계 12페이지
    수 있는 제품(자판기 회로)을 설계 ․ 제작한다.2. ... 설계 목적 / 용도논리회로 내용 및 실습 내용을 기반으로 생활 속에서 활용될
  • 파워포인트파일 자판기설계원리 모듈별 코드분석. 37페이지
    Booth 곱셈기 알고리즘을 이용하여 구현 자판기 설계에서 12bit 의 ... : 자판기 설계 물품의 개수를 입력할 수 있는 기능을 추가여 원하는 만큼의 ... 자판기 설계에서 멀티플러로 부터 나온 출력값 ( 물건 개수와 물건 값의 곱
  • 한글파일 [산업공학개론] 자판기 재고관리의 자동화 15페이지
    P.5~7 ① 자판기 설계 순서 ② 자판기 종류별 적용 사례 #4. ... 개선된 자판기설계 ???????????????????????? ... 관리자와 서비스 센터에 고장 신고를 함으로써 해결할 수 있다. ①자판기 설계
  • 한글파일 [논리회로설계실험]커피자판기설계 5페이지
    커피자판기(FSM 설계) 1. ... 실험 내용 1) 다음 상태도와 동작에 따라 커피자판기설계하시오. ① 상태도 ... 이는 입력 신호의 주기를 조절해서 순차적으로 동전의 투입, 자판기 버튼의
더보기
최근 본 자료더보기
탑툰 이벤트
자판기 설계
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업