• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

FSM_순차회로

*기*
최초 등록일
2011.05.23
최종 저작일
2010.10
7페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

FSM(finite state machine)을 verilog로 설계 후 파형 검증 자료입니다.

목차

1. 코드
2. 검증 코드
3. 파형 검증내용

본문내용

//각각의 State에 관련된 선언
//(define)문장 이용
module State (z, x,clk,rst);
input clk, rst;
input [1:0] x;
//입력 신호 : FlipFlop의 동작을 위해서 clk 요구
//초기상태의 선언을 위한 rst 신호 선언
output [1:0] z;
reg [1:0] z;
//출력값을 의미하는 z의 선언
reg[1:0] NS,PS;
//현재 상태와 미래 상태를 나타내는 변수 선언
always @(posedge clk) begin
//always 구문에 posedge와 같은 edge event에 동작하게 되면, register로 잡히게 //된다.
if(rst)begin PS<=`S0; NS<=`S0; end
else begin PS<=NS; end
//아래에 always@(*) 구문에서의 값의 변하고 난뒤에
//상승 edge의 clk에서 상태 값의 변화가 발생하게 된다.
//rst신호가 들어오면 S0 현재와 미래 상태를 S0 상황으로 만들어 준다.
end
always @(x or PS) begin
//edge event가 아니라 값이 바뀌게 될 때, 바뀌게 된다. 이 경우는 실제로 //mapping하게 되면 메모리로 잡히지는 않는다.
//모든 값의 변화에 따라서 always 구문 아래의 문장을 수행한다.
case (PS)
`S0 : if(x==0) NS<=`S3; else if(x==1) NS<=`S2; else if(x==2) NS<=`S1; else NS<=`S0;
`S1 : if(x==0) NS<=`S0; else if(x==1) NS<=`S1; else if(x==2) NS<=`S2; else NS<=`S3;
`S2 : if(x==0) NS<=`S3; else if(x==1) NS<=`S0; else if(x==2) NS<=`S1; else NS<=`S1;
`S3 : if(x==0) NS<=`S2; else if(x==1) NS<=`S2; else if(x==2) NS<=`S1; else NS<=`S0;
default : NS<=NS;

참고 자료

없음
*기*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 순차논리회로설계 결과레포트 10페이지
    상태도의 구현 [학습목표] · 순차논리 회로를 설계하기 위해 FSM도(상태도 ... [이론내용] ▣ 순차논리회로와 상태도 ▷ 상태도 (FSM : Finite ... 입력과 현재 상태에 따라 출력이 결정된다. - FSM순차논리회로를 설계하는
  • 워드파일 서울시립대학교 전전설2 7주차 예비레포트(코딩 성공적, A+, 10점 만점 11점) 24페이지
    하나의 순차회로만을 활용해 하나에 data에 여러 always문이 접근하지 ... Simulation 결과 Pin 연결 - 개발 설명 위 디자인의 설계는 순차회로로 ... state를 활용해 moore 방식으로 저장을 해주었지만, 이 설계에서는 단순히 순차회로
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 7주차 Lab07 예비 레포트 Sequential Logic 2, 전자전기컴퓨터설계실험2, 13페이지
    실험 목적 이번 실험에서도 순차회로에 대해서 학습한다. ... 본 실험은 순차회로로, 출력신호가 입력신호에 의해서만 결정되는 조합회로와 ... 배경 이론 1) Finite State Machine (FSM) FSM
  • 한글파일 논리회로설계 FSM 설계 5페이지
    실험 목표 순차회로의 응용회로FSM의 종류와 디지털 시스템에서 생기는 ... 논리회로설계 실험 예비보고서 #8 실험 8. FSM 설계 1. ... 이렇게 FSM의 설계를 통하여 이제까지와 다르게 상태가 계속 변화하는 회로
  • 워드파일 VHDL을 통해 구현한 Counter 14페이지
    , 유한상태기계) 순차논리회로의 일종으로, 래치, 플립플롭, 레지스터, 메모리 ... FSM을 이용한 제품의 diagram 2)Moore machine FSM의 ... 등의 소자로 구성되어, 상태(state)를 저장할 수 있는 회로이다.
더보기
최근 본 자료더보기
탑툰 이벤트
FSM_순차회로
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업