4비트 카운터 설계
- 최초 등록일
- 2011.04.03
- 최종 저작일
- 2009.03
- 4페이지/ 한컴오피스
- 가격 2,000원
소개글
4비트 카운터 설계
코드표와 시뮬레이션사진 첨부되어 있습니다.
목차
<비동기 카운터 설계(Asynchronous Counter : Behavioral Modeling >
<비동기 카운터 설계(Asynchronous Counter : Behavioral Modeling -Test Bench >
<비동기 카운터 설계(Asynchronous Counter : Structural Modeling >
<비동기 카운터 설계(Asynchronous Counter : Structural Modeling Test Bench>
<비동기 카운터 설계(Asynchronous Conter : Behavioral Modeling >
<비동기 카운터 설계(Asynchronous Conter : Structural Modeling >
본문내용
<비동기 카운터 설계(Asynchronous Counter : Behavioral Modeling >
=======================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity cnt_4 is
port (clk, rst :in std_logic;
cnt_out : buffer std_logic_vector (3 downto 0):= "0000";
CLK_out : buffer std_logic :=`0`);
end cnt_4;
참고 자료
논리회로설계