• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

밴딩머신(자판기)

*해*
최초 등록일
2010.10.09
최종 저작일
2010.10
15페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

밴딩머신(자판기)

목차

1) Draw a fuctional block diagram
2) Dwsign a Mealy-style state diagram
3) Design a behavial verilog modeling
4) Test plan
5) Design a verilog stimulus coding
6) Result & Discussion

본문내용

6) Result & Discussion
프로그램을 짠 코드는 아쉽게도 모델심에서 돌아가지 않았다. 지속적인 1개의 error에 시달렸는데 전체적으로 대대적인 수정을 가하였으나 불행히도 error를 찾지 못하였다.
이번에 내가 시도하려 했던 soda machine은 100원 또는 500원을 투입하여 투입 금액 스테이트가 변화하고 총 투입금액이 500원을 초과하면 select state로 들어가 콜라와 스프라이트, 환타, 물을 출력하는 신호를 입력하게 되면 해당 음료를 출력하고 이후 change스테이트로 넘어가 잔돈을 반환하는 수행을 하려고 계획하였다.
초기 상태는 start란 이름으로 parameter를 지정하였고 case구문을 이용하여 state변수를 사용 각 스테이트로의 이동을 꾀하였다.
테스트 벤치는 실제로는 코드가 compile에 실패하여 실행하여보지 못하였으나 compile에 성공했다고 가정하고 코드를 작성하였다.

참고 자료

없음

이 자료와 함께 구매한 자료

*해*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

최근 본 자료더보기
  • 프레시홍 - 전복
탑툰 이벤트
밴딩머신(자판기) 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업