• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터

*가*
개인인증판매자스토어
최초 등록일
2010.06.18
최종 저작일
2009.09
3페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터 예비보고서

목차

1. 실험목적
1. 이론
1.1. 7-세그먼트 디코더
1.1. 시프트 레지스터

본문내용

1. 실험목적
7-세그먼트와 시프트 레지스터를 HDL을 이용하여 설계해봄으로써, Verilog HDL을 사용한 회로 설계 방법과 Quartus Ⅱ의 사용법을 익힌다.

2. 이론
2.1. 7-세그먼트 디코더
2.1.1. VHDL로 표현

library Ieee;
use ieee.std_logic_1164.all;

entity seg_decoder is
port(
cnt_in : in std_logic_vector(3 downto 0);
com : out std_logic_vector(3 downto 0);
seg_out : out std_logic_vector(7 downto 0));
end seg_decoder;

architecture logic of seg_decoder is
begin
process(cnt_in)
begin
case (cnt_in) is

참고 자료

없음
*가*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 파일확장자 Verilog HDL을 이용한 디지털 회로실험 사전보고서 12페이지
    동기식 카운터 vs 비동기식 카운터 순차회로는 동기식 순차회로와 비동기식 순차회로로 구분할 수 있다. 동기식 순차회로는 회로 구성에 사용된 모든 플립플롭들이 하나의 공통 클럭을 동시에 공급받도록 구성된 회로를 말한다. 이에 반해 비동기식 순차회로는 플립플롭들이 서로 다..
최근 본 자료더보기
탑툰 이벤트
Verilog HDL을 이용한 7-세그먼트디코더와 시프트 레지스터
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업