• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL를 이용한 계산기설계

*민*
개인인증판매자스토어
최초 등록일
2010.05.27
최종 저작일
2008.06
23페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

Describe how do you solve the problem.


LCD_DISPLAY

LCD_TEST

DATA_GEN



① component 설계 : 이번 실험은 2개의 component를 사용하여 설계해야한다. LCD_DISPLAY는 LCD_TEST와 DATA_GEN을 통합하는 최상위 모듈이다. LCD_TEST는 LCD를 출력하고 register file로부터 데이터를 읽어서 LCD에 출력하는 모듈이다. DATA_GEN는 외부 dip 스위치 및 push 스위치를 통해서 데이터를 읽어서 register file에 저장 및 계산 결과 저장하는 모듈로써 실제적으로 우리가 설계해야 되는 부분이다.
② LCD_TEST 모듈 설계
- LCD에 출력에 필요한 기본적인 entity를 제작한다.
- 입력 값이 출력 값까지 도달하기 위한 내부 signal을 지정한다.
- LCD는 동시 출력하지 않기 때문에 한 자리씩 분주를 설정해 주는데, 50Hz를 위해 clk 값에 count를 주어 4MHz의 값이 두 개의 process문으로 100kHz에서 50Hz로 줄어들개한다.
- lcd의 instruction과 각 address에 어떤 값이 출력 되는지를 설정하여 준다.

③ DATA_GEN 모듈 설계
- FPGA를 동작하기 위한 기본적인 clk과 reset를 포함한 각 switch에 해당하는 input, 출력하고자 하는 output를 entity에서 표현해 준다.
- 내부 signal에서 출력에 필요한 reg signal을 array를 통해 8bit의 signal이 32개 생기도록 설정한다. 또한 연산과정 중 input를 대신할 operand1 과 operand2, 중간 결과 값인 result를 한 자리 수인 16진수로 표현되므로 4bit로 설정해준다.
- process문으로 입력되는 operand1과 operand2를 if문을 통해 plus가 눌러지면 덧셈을 minus가 눌러지면 뺄셈을 하는 구조로 설계하고, 덧셈 시 합이 16을 넘어가면 carry가 생기는 것을 고려하여 설계한다.

목차

Introduction
7Problem Statement
Implementation
Result
Conclusion & Evaluation
Source Code (with suitable comments)

본문내용

Introduction

KIT를 사용한 4bit의 덧셈/뺄셈기를 설계한다.
- 4bit(한자리수)로 표현되는 16진수 2개를 덧셈 또는 뺄셈을 LCD에 출력하게 한다.
- 각 input에 따른 switch로 어떤 결과를 얻어내는 지를 파악한다.
- 두 개의 16진수를 dip switch를 통해 설정하여 output이 나오는 구조를 이해한다.
많은 switch를 사용하므로 pin 할당하는 방법 및 KIT를 작동에 필요한 상황을 설정한다.
키트를 이용하여 실제 값이 제대로 나오는지 확인한다.
- 만약 키트에 문제가 생긴다면, 이를 수정하여 올바른 값을 확인한다.

7Problem Statement
- Describe what is the problem.
이번 실험은 KIT를 사용하여 4bit의 16진수 2개의 덧셈 또는 뺄셈의 결과를 얻어낼 수 있어야 한다.
LCD
1. 계산하고자 하는 16진수는 DIP switch를 이용하여 설정하게 한다.
2. OPERAND1 PUSH switch를 누르면 register file에 데이터를 저장하여 LCD에 출력된다
3. +, - PUSH switch를 누르면 register file에 저장되어 연산 형태를 정하고LCD에 출력된다.
4. 두 번째 16진수를 DIP switch를 이용하여 설정하게 한다.
5. OPERAND2 PUSH switch를 누르면 register file에 데이터를 저장하여 LCD에 출력된다
6. CALCULATE PUSH 스위치를 누르면 덧셈 또는 뺄셈 연산 후 연산 결과가 register file에 저장되고, LCD에 두 자리 수의 16진수로 출력된다.
- Describe how do you solve the problem.

LCD_DISPLAY
LCD_TEST
DATA_GEN



① component 설계 : 이번 실험은 2개의 component를 사용하여 설계해야한다. LCD_DISPLAY는 LCD_TEST와 DATA_GEN을 통합하는 최상위 모듈이다. LCD_TEST는 LCD를 출력하고 register file로부터 데이터를 읽어서 LCD에 출력하는 모듈이다. DATA_GEN는 외부 dip 스위치 및 push 스위치를 통해서 데이터를 읽어서 register file에 저장 및 계산 결과 저장하는 모듈로써 실제적으로 우리가 설계해야 되는 부분이다.
② LCD_TEST 모듈 설계

참고 자료

없음

자료후기(2)

*민*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 디지털시스템설계실습 전감산기 결과보고서 4페이지
    하는 독특한 계산을 하는데 이를 VHDL설계해 볼 수 있어서 흥미로웠고 ... 전감산기를 Verilog 또는 VHDL설계하고 다음에 코드를 나타내라. ... Verilog 또는 VHDL설계한 전감산기를 컴파일 및 시뮬레이션하고,
  • 한글파일 논리회로설계실험 BCD가산기 레포트 14페이지
    설계 배경 및 목표 1) 설계 배경 컴퓨터는 2진법을 이용하여 계산을 한다 ... 바꾸는 BCD 코드를 이용한 가산기를 만든다. 2) 설계 목표 입력 받은 ... 2개의 2자리 10진수를 BCD 가산기로 받아서 계산하고, 계산 결과를
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계 17페이지
    실행동작을 지정하여 계산기의 기능을 구현한다. ... 입력하고, 덧셈과 뺄셈 연산을 하는 계산기를 만들어 보는 실습이다. ... 때문에, 5~7자리의 LCD에만 값을 표시한다. 2)스위치/딥스위치 원래 계산기는
  • 한글파일 BCD 가산기 설계 결과보고서 3페이지
    때와 Verilog 또는 VHDL설계할 때의 장단점을 설명하라. ... ※BCD 가산기 설계 1. ... BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다
  • 한글파일 논리회로실험 비교기와 MUX, ALU 결과보고서 5페이지
    실험 목표 VHDL의 Subprogram으로서 함수와 프로시저를 사용하여 ... 비교기와 MUX, ALU 1. ... B10_이화철 ALU를 작성할 때 function과 procedure를 이용하여
더보기
최근 본 자료더보기
탑툰 이벤트
VHDL를 이용한 계산기설계 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업