• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로와 7세그먼트

*광*
최초 등록일
2010.05.20
최종 저작일
2009.09
11페이지/한글파일 한컴오피스
가격 1,500원 할인쿠폰받기
다운로드
장바구니

소개글

논리회로와 7세그먼트

목차

1. 7-Segment와 And, Or, Not gate의 특성
1) 7-Segment
◆ 7세그먼트 표시 장치
◆ 7-세그먼트의 구동방식
◆ 7-세그먼트의 이용
◆ And gate
◆ Or gate
◆ Not gate
2. 불 대수, 카르노 맵, 진리표
1) 불 대수
◆ 불 대수의 기본연산
2) 카르노 맵
◆ map의 구성
◆ 간단화 방법
◆ 부울 대수식을 간략화하기 위한 카르노 맵의 이용

본문내용

1. 7-Segment와 And, Or, Not gate의 특성
1) 7-Segment
- 7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소숫점) 7-세그먼트는 발광 다이오드를 이용한 부품으로 0부터 9까지 임의의 수와 영문자를 표시하도록 만든 것으로서, 계수기나 디지털 시계등에 많이 이용되고 있다.
7-세그먼트는 아래 그림과 같이 양극(anode)이 공통으로 연결되어 있는 AC(anode common)형과 음극(cathode)이 공통으로 연결되어있는 CC(cathode common)형의 두 가지가 있다. 그러므로 7-세그먼트를 선택할 경우에는 필요한 LED가 AC형인지 CC 형인지를 확인하여야 한다.
◆ 7세그먼트 표시 장치
- 다음은 일반적으로 각 숫자에 해당하는 7세그먼트 표시 장치의 모습이다.
◆ 7-세그먼트의 구동방식
- 7-세그먼트는 정적 구동방식과 동적 구동방식으로 구동할 수 있다. 정적 구동방식의 경우 7-세그먼트 한 개를 구동하기 위해 8개의 I/O 포트를 요구하므로 세그먼트 어레이를 구동하기 위해서 너무 많은 I/O 자원을 소모하게 된다. 그러나 동적 구동방식의 경우는 동일한 개수의 7-세그먼트를 구동하는데 I/O 가 절감되는 효과가 있다.
동적 구동방식은 7-세그먼트의 단자(a,b,c,d,e,f,g,dp)가 하나의 8비트 버스로 연결되어 있고, 7-세그먼트의 공통 단자에



◆ 부울 대수식을 간략화하기 위한 카르노 맵의 이용
- 우리가 원하는 임의의 논리 동작을 실현하기 위한 논리 회로를 설계하는 경우에 카르노 맵을 이용하여 부울 대수식을 단순화시키면 회로를 간략화 할 수 있다.
① 진리표 작성
② 카르노 맵으로 전환
③ 진리표에서 출력이 1인 경우의 fundamental product와 관련한 카르노 맵의 항에 1 기입
④ ③에서 카르노 맵에 1을 기입한 이외의 나머지 항에 0을 기입
⑤ octets, quads, pairs들을 조사하고 grouping -> 가능한 한 큰 group을 얻기 위하여 roll과 overlap 고려
⑥ 독립된 1도 모두 하나의 소규모 group으로 고려
⑦ group중, 그의 모든 요소가 다른 group에 포함되는 redundant group이 있으면 제거
⑧ 표시된 group들과 관련된

참고 자료

없음

자료후기(2)

*광*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 디지털 논리 회로 실험 레포트 [7세그먼트로 숫자표현] 10페이지
    논리 회로를 직접 구현한다.Ⅱ. ... 주어진 문제 7-segment을 이용하고 ~의 Input을 넣어 0~9을 ... 회로 설계자는 이러한 특징을 통해 자신이 설계하고자 하는 회로를 제작할 수
  • 한글파일 논리회로보고서 7세그먼트 7페이지
    REPORT ━━━━ 과 목 명 논리회로 및 실험 분 반 2 분반(COM203 ... 전체적인 실험에 대한 고찰 2009211711 김 명준 논리회로 마지막 실험으로 ... 설계하여라. 7-세그먼트를 이용해 과제 02-03과 같이 출력하는 회로
  • 한글파일 논리회로실험 7 세그먼트 디코더 3페이지
    다중화기 및 역다중화기의 개요, 용도, 구성 바업을 이해하고 이를 이용한 논리회로 ... ◈제목 - 디코더/인코더 및 다중화기/역다중화기 : 7세그먼트 디코더 ◈목적 ... ◈이론 7-세그먼트 LED 표시소자에는 내노드 공통형(Common Anode
  • 한글파일 디지털공학(논리회로)-7세그먼트 설계 8페이지
    7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. 2. ... 분석 7-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 ... (우리 교재 7.6에서 다루어진 2단 다중출력회로 설계 기법은 2단 이상의
  • 워드파일 인코더와 디코더 회로 예비 보고서(고찰포함)A+ 5페이지
    회로의 enable 입력이 존재한다면 반드시 정상적인 논리출력을 얻기 위해서는 ... 디코더 디코더는 코드화 된 입력을 출력으로 변환하는 다중-입력,다중-출력 논리회로이다 ... . 7개의 출력에 대한 논리함수를 구해보면 다음과 같은 부울함수로 표시된다
더보기
최근 본 자료더보기
탑툰 이벤트
논리회로와 7세그먼트
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업