• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

VHDL 설계 레포트(문법적용)

추천자료
개인인증판매자스토어
최초 등록일
2010.04.24
최종 저작일
2010.04
13페이지/한글파일 한컴오피스
가격 2,000원 할인쿠폰받기
다운로드
장바구니

소개글

VHDL 설계 레포트(문법적용)

목차

◆ logic1
1.소스
2. 시뮬레이션
3. 블록 다이어그램

◆ over_write
1. 소스
2. 시뮬레이션
3. 블록 다이어그램

◆ ex1
1.소스
2. 시뮬레이션
3. 블록다이어그램

◆ ex2
1. 소스
2. 시뮬레이션
3. 블록 다이어그램

◆ if
1. 소스
2. 시뮬레이션
3. 블록다이어그램

◆ 다중 if
1.소스
2. 시뮬레이션
3. 블록다이어그램

◆ memory if
1.소스
2. 시뮬레이션
3. 블록다이어그램

◆ case
1. 소스
2. 시뮬레이션 결과
3. 블록다이어그램

◆ for loop
1.소스
2. 시뮬레이션 결과
3. 블록다이어그램

◆ when else
1.소스
2. 시뮬레이션 결과
3. 블록다이어그램

◆ whenelse 연습
1.소스
2.시뮬레이션결과
3. 블록다이어그램

◆ with_select
1.소스
2. 시뮬레이션 결과
3. 블록다이어그램

본문내용

library ieee;
use ieee.std_logic_1164.all;

entity logic1 is
port(a,b,c :in bit;
y :out bit);
end logic1;

architecture sample of logic1 is
signal w, x : bit;
begin
no1: process(a,b)
begin
if (a=`1`) or (b=`1`) then w <= `1`;
else w <=`0`;
end if;
end process;
no2: process(b,c)
begin
if (b=`0`) or (c=`0`) then x <= `1`;
else x <= `0`;
end if;
end process;
no3: process(w,x)
begin
if (w=x) then y <= `0`;
else y <=`1`;
end if;
end process;
end sample;

참고 자료

없음
추천자료
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 워드파일 SoC 보고서 - 1.동기통신(PS2) 22페이지
    그 원인을 알아보는 과정에서 VHDL 문법적인 부분과 시뮬레이션 과정에 대해 ... SoC 레포트 -1차- [동기통신(PS2)] 제출일 : 학 번 : 이 름 ... 실습보드 적용 결과 -----------------------------
  • 한글파일 vhdl 기본게이트 설계 6페이지
    이번 실험에서 기본적인 VHDL 설계의 흐름을 살펴보았으며 xilinx ise ... 설계를 할 때 물리적인 제약 조건들을 적용하는 작업으로 implementation에서 ... REPORT 보고서 작성 서약서 1.
최근 본 자료더보기
  • 프레시홍 - 전복
탑툰 이벤트
VHDL 설계 레포트(문법적용)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업