• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로 설계실험 shift register

*승*
최초 등록일
2009.07.10
최종 저작일
2009.05
9페이지/한글파일 한컴오피스
가격 1,000원 할인쿠폰받기
다운로드
장바구니

소개글

논리회로 설계실험 shift register 보고서와 코드

목차

1. Introduction
2. Problem Statement
3. Implementation
4. Result & Source Code

본문내용

1. Introduction
1)Flip-Flop에 대해 이해한다.
2)VHDL 언어를 통해 shift register를 설계 할 수 있다.
3)shift register에 쓰이는 DFF를 이해한다.
4)다양한 shifter들의 개념과 동작원리에 대해 이해할 수 있다.

2. Problem Statement
① Describe what is the problem.
Purpose: shift register는 circular shifter/ logical shifter/ arithmetic shifter를 구현
 shift register들은 비동기 reset 및 동기 enable 로 작동하도록 구현할 것
 mode port를 통해 shifter의 종류를 선택
 direction port를 통해 shift를 왼쪽으로 할 것인가 오른쪽으로 할 것인가를 결정

실습 시에 entity는 다음을 따를 것
entity shifter is
Port ( clk, reset, enable, dir : in STD_LOGIC;
mode : in STD_LOGIC_VECTOR(1 downto 0);
pi : in STD_LOGIC_VECTOR(3 downto 0);
q : out STD_LOGIC_VECTOR(3 downto 0));
end shifter;
표 shifter entity

참고 자료

없음

자료후기(1)

*승*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

더보기
최근 본 자료더보기
탑툰 이벤트
논리회로 설계실험 shift register
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업