• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계

*지*
개인인증판매자스토어
최초 등록일
2009.06.24
최종 저작일
2009.05
20페이지/한글파일 한컴오피스
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

A+받은 프로젝트 자료입니다. xilinx프로그램을 이용하여 코딩하였습니다.

프로그램 동작한 결과 문제 없이 잘 동작합니다.
(주의 : 소스코드 작성 시 사용한 킷은 Virtex5입니다.

Spartan3 시리즈로 소스 작성 하면 ATM기의 메모리를 감당할 수 없어서

에러가 뜨므로 Virtex5킷이상 되는 킷으로 작성후

Synthesis 및 implement를 구동하여야 합니다)

목차

1. Purpose
2. Problem statement
3. Sources & Results
4. 고찰

본문내용

1. Purpose
학기 수업 중 배웠던 VHDL의 이론을 바탕으로 프로그램을 구현한다. 입, 출력이 있는 VHDL프로그램의 특성을 활용해서 일상생활에서 널리, 유용하게 사용되고 있는 ATM(Automatic Teller Machine)을 설계한다.

2. Problem statement
① Describe what is the problem.
ATM기로 한 은행만 거래하는 것이 아니기에 여러 금융기관(우리은행, 신한은행, 농협 등등)의 서비스를 제공하는 ATM기를 설계해아 한다. 코딩과정에서 입력 변수를 어떻게 설정해야 할지 판단해야 한다. 금융 거래 시 필요한 비밀번호 입력 및 일치 여부 문제는 어떻게 해결해야 하는지 방법을 구상한다. ATM기의 기능(입금, 출금, 송금, 계좌조회 등)을 수행하는데 필요한 소스 코드를 구성해야 한다. 테스트벤치를 통하여 vhdl module 파일에서 지정하고 선언했던 동작들이 제대로 수행되는지 여부를 알아보아야 한다.

② Describe how do you solve the problem.
우선, clock의 rising edge일 때 ATM기가 동작하며, reset이 1일 때는 clock이 rising edge라도 ATM기가 동작을 하지 않고, reset이 0이 되는 순간부터 ATM기가 작동한다. 거래하고자 하는 은행 카드를 넣을 때(card = 1) ATM의 거래 동작이 시작된다. 본 설계에서는 ID & Password 방식을 착안하였고, 입력에 따라 은행의 종류 및 계좌번호를 선택하기 위해 input으로 id 라는 vector형을 사용하였고, 실제 ATM기기는 계좌번호가 은행별로 10자리 이상이지만(예 : 우리은행 -> 1002-329-194658), 설계 파일에서는 device의 크기를 고려하여 4자리의 계좌번호를 사용하였으며, 4자리의 계좌번호 앞에 은행을 식별하는 2자리의 고유번호를 부여하였다(예 : 우리은행 -> 00). 이 6자리의 입력이 ID로 사용된다.

참고 자료

없음

자료후기(1)

*지*
판매자 유형Bronze개인인증

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

찾던 자료가 아닌가요?아래 자료들 중 찾던 자료가 있는지 확인해보세요

  • 한글파일 VHDL를 활용한 EE ATM 설계하기 20페이지
    EE ATM - 디지털논리회로실험 프로젝트 최종 보고서 ? ... 노승환 , 한빛미디어 , 2009 -논리회로도와 VHDL이용한 디지털 ... - 서강대학교 전자공학과, 디지털 논리회로 실험 manual, lab1
최근 본 자료더보기
탑툰 이벤트
논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계 무료자료보기
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업